fbpx
Wikipedia

XScale

XScale is a microarchitecture for central processing units initially designed by Intel implementing the ARM architecture (version 5) instruction set. XScale comprises several distinct families: IXP, IXC, IOP, PXA and CE (see more below), with some later models designed as system-on-a-chip (SoC). Intel sold the PXA family to Marvell Technology Group in June 2006.[1] Marvell then extended the brand to include processors with other microarchitectures, like Arm's Cortex.

The XScale architecture is based on the ARMv5TE ISA without the floating-point instructions. XScale uses a seven-stage integer and an eight-stage memory super-pipelined microarchitecture. It is the successor to the Intel StrongARM line of microprocessors and microcontrollers, which Intel acquired from DEC's Digital Semiconductor division as part of a settlement of a lawsuit between the two companies. Intel used the StrongARM to replace its ailing line of outdated RISC processors, the i860 and i960.

All the generations of XScale are 32-bit ARMv5TE processors manufactured with a 0.18 μm or 0.13 μm (as in IXP43x parts) process and have a 32 KB data cache and a 32 KB instruction cache. First- and second-generation XScale multi-core processors also have a 2 KB mini data cache (claimed it "avoids 'thrashing' of the D-Cache for frequently changing data streams"[2]). Products based on the third-generation XScale have up to 512 KB unified L2 cache.[3]

Processor families edit

The XScale core is used in a number of microcontroller families manufactured by Intel and Marvell:

  • Application processors (with the prefix PXA). There are four generations of XScale application processors, described below: PXA210/PXA25x, PXA26x, PXA27x, and PXA3xx.
  • I/O processors (with the prefix IOP).
  • Network processors (with the prefix IXP).
  • Control plane processors (with the prefix IXC).
  • Consumer electronics processors (with the prefix CE).

There are also standalone processors: the 80200 and 80219 (targeted primarily at PCI applications).

PXA edit

PXA System on a Chip (SoC) products were designed in Austin, Texas. The code-names for this product line are small towns in Texas, primarily near deer hunting leases frequented by the Intel XScale core and mobile phone SoC marketing team.

Released date Code name ARM type Fab Size Pin count Clock speeds
PXA21x and PXA26x
PXA210 February 2002 - ARMv5 XScale1 core[4] 13x13mm[5] 255 pin T-PBGA 133 MHz and 200 MHz
PXA250 Cotulla 17x17mm[5] 256-pin PBGA 200 MHz, 300 MHz and 400 MHz[6]
PXA255 March 2003
PXA26x
PXA260 March 2003 Dalhart ARMv5 XScale1 core[7] 200 MHz, 300 MHz and 400 MHz
PXA261
PXA263
PXA27x
PXA270 April 2004 Bulverde ARMv5 XScale2 core 312 MHz, 416 MHz, 520 MHz and 624 MHz
PXA271 13, 104, 208 MHz or 416 MHz
PXA272 312 MHz, 416 MHz or 520 MHz
PXA3xx
PXA300 August 2005 Monahans ARMv5 XScale3 core
PXA310 806 MHz
PXA320
PXA90x
PXA90x 130 nm
PXA93x
PXA930 Tavor ARMv5 XScale3 core 65 nm
PXA935 45 nm
PXA95x
PXA955 ARMv7

PXA210/PXA25x edit

 
Intel PXA255

The PXA210 was Intel's entry-level XScale targeted at mobile phone applications. It was released with the PXA250 in February 2002 and comes clocked at 133 MHz and 200 MHz.

The PXA25x family (code-named Cotulla) consists of the PXA250 and PXA255. The PXA250 was Intel's first generation of XScale processors. There was a choice of three clock speeds: 200 MHz, 300 MHz and 400 MHz. It came out in February 2002. In March 2003, the revision C0 of the PXA250 was renamed to PXA255. The main differences were a doubled internal bus speed (100 MHz to 200 MHz) for faster data transfer, lower core voltage (only 1.3 V at 400 MHz) for lower power consumption and writeback functionality for the data cache, the lack of which had severely impaired performance on the PXA250.

Intel XScale Core Features :

  • ARMv5TE
  • ARM Thumb
  • ARM DSP
  • L1 32-KByte data and instruction cache

PXA26x edit

The PXA26x family (code-named Dalhart) consists of the PXA260 and PXA261-PXA263. The PXA260 is a stand-alone processor clocked at the same frequency as the PXA25x, but features a TPBGA package which is about 53% smaller than the PXA25x's PBGA package. The PXA261-PXA263 are the same as the PXA260 but have Intel StrataFlash memory stacked on top of the processor in the same package; 16 MB of 16-bit memory in the PXA261, 32 MB of 16-bit memory in the PXA262 and 32 MB of 32-bit memory in the PXA263. The PXA26x family was released in March 2003.

PXA27x edit

 
Intel PXA270 with 624 MHz

The PXA27x family (code-named Bulverde) consists of the PXA270 and PXA271-PXA272 processors. This revision is a huge update to the XScale family of processors. The PXA270 is clocked in four different speeds: 312 MHz, 416 MHz, 520 MHz and 624 MHz and is a stand-alone processor with no packaged memory. The PXA271 can be clocked to 13, 104, 208 MHz or 416 MHz and has 32 MB of 16-bit stacked StrataFlash memory and 32 MB of 16-bit SDRAM in the same package. The PXA272 can be clocked to 312 MHz, 416 MHz or 520 MHz and has 64 MB of 32-bit stacked StrataFlash memory.

Intel also added many new technologies to the PXA27x family such as:

  • SpeedStep: the operating system can clock the processor down based on load to save power.
  • Wireless MMX (code-named Concan; "iwMMXt"): 43 new SIMD instructions containing the full MMX instruction set and the integer instructions from Intel's SSE instruction set along with some instructions unique to the XScale. Wireless MMX provides 16 extra 64-bit registers that can be treated as an array of two 32-bit words, four 16-bit halfwords or eight 8-bit bytes. The XScale core can then perform up to eight adds or four MACs in parallel in a single cycle. This capability is used to boost speed in decoding and encoding of multimedia and in playing games.
  • Additional peripherals, such as a USB-Host interface and a camera interface.
  • Internal 256 KB SRAM to reduce power consumption and latency.

The PXA27x family was released in April 2004. Along with the PXA27x family Intel released the 2700G embedded graphics co-processor(code-named Marathon).

PXA3xx edit

 
Toradex Colibri XScale Monahans PXA290 SODIMM-module (Prototype Of Marvell PXA320 SODIMM-module)

In August 2005 Intel announced the successor to Bulverde, codenamed Monahans.

They demonstrated it showing its capability to play back high definition encoded video on a PDA screen.

The new processor was shown clocked at 1.25 GHz but Intel said it only offered a 25% increase in performance (800 MIPS for the 624 MHz PXA270 processor vs. 1000 MIPS for 1.25 GHz Monahans). An announced successor to the 2700G graphics processor, code named Stanwood, has since been canceled. sd features of Stanwood are integrated into Monahans. For extra graphics capabilities, Intel recommends third-party chips like the Nvidia GoForce chip family.

In November 2006, Marvell Semiconductor officially introduced the Monahans family as Marvell PXA320, PXA300, and PXA310.[8] PXA320 is currently shipping in high volume, and is scalable up to 806 MHz. PXA300 and PXA310 deliver performance "scalable to 624 MHz", and are software-compatible with PXA320.

PXA800F edit

Codenamed Manitoba, Intel PXA800F was a SoC introduced by Intel in 2003 for use in GSM- and GPRS-enabled mobile phones. The chip was built around an XScale processor core, the likes of which had been used in PDAs, clocked at 312 MHz and manufactured with a 0.13 μm process, with 4 MB of integrated flash memory and a digital signal processor.[9]

A prototype board with the chip was demoed during the Intel Developer Forum.[10] Intel noted it was in talks with leading mobile phone manufacturers, such as Nokia, Motorola, Samsung, Siemens and Sony Ericsson, about incorporating Manitoba into their phones.[11]

O2 XM, released in 2005, was the only mobile phone with a documented use of the Manitoba chip.[12] An Intel executive stated that the chip version used in the phone was reworked to be less expensive than the initial one.[13]

PXA90x edit

The PXA90x, codenamed Hermon, was a successor to Manitoba with 3G support. The PXA90x is built using a 130 nm process.[14] The SoC continued being marketed by Marvell as they acquired Intel's XScale business.[15][16]

PXA16x edit

 
PXA168 System On Module by tianyeit.com

PXA16x is a processor designed by Marvell, combining the earlier Intel designed PXA SoC components with a new ARMv5TE CPU core named Mohawk or PJ1 from Marvell's Sheeva family instead of using wdc Xscale or ARM design. The CPU core is derived from the Feroceon core used in Marvell's embedded Kirkwood product line, but extended for instruction level compatibility with the XScale IWMMX.

The PXA16x delivers strong performance at a mass market price point for cost sensitive consumer and embedded markets such as digital picture frames, E Readers, multifunction printer user interface (UI) displays, interactive VoIP phones, IP surveillance cameras, and home control gadgets.[17]

PXA930/935 edit

The PXA930 and PXA935 processor series were again built using the Sheeva microarchitecture developed by Marvell but upgraded to ARMv7 instruction set compatibility.[18] This core is a so-called Tri-core architecture[19] codenamed Tavor; Tri-core means it supports the ARMv5TE, ARMv6 and ARMv7 instruction sets.[19][20] This new architecture was a significant leap from the old Xscale architecture. The PXA930 uses 65 nm technology[21] while the PXA935 is built using the 45 nm process.[20]

The PXA930 is used in the BlackBerry Bold 9700.

PXA940 edit

Little is known about the PXA940, although it is known to be ARM Cortex-A8 compliant.[22] It is utilized in the BlackBerry Torch 9800[23][24] and is built using 45 nm technology.

PXA986/PXA988 edit

After XScale and Sheeva, the PXA98x uses the third CPU core design, this time licensed directly from ARM, in form of dual core Cortex A9 application processors[25] utilized by devices like Samsung Galaxy Tab 3 7.0.[26]

PXA1088 edit

It is a quad core Cortex A7 application processor with Vivante GPU.[27]

IXC edit

IXC1100 edit

The IXC1100 processor features clock speeds at 266, 400, and 533 MHz, a 133 MHz bus, 32 KB of instruction cache, 32 KB of data cache, and 2 KB of mini-data cache. It is also designed for low power consumption, using 2.4 W at 533 MHz. The chip comes in the 35 mm PBGA package.

IOP edit

The IOP line of processors is designed to allow computers and storage devices to transfer data and increase performance by offloading I/O functionality from the main CPU of the device. The IOP3XX processors are based on the XScale architecture and designed to replace the older 80219 sd and i960 family of chips. There are ten different IOP processors currently available: IOP303, IOP310, IOP315, IOP321, IOP331, IOP332, IOP333, IOP341, IOP342 and IOP348. Clock speeds range from 100 MHz to 1.2 GHz. The processors also differ in PCI bus type, PCI bus speed, memory type, maximum memory allowable, and the number of processor cores.

IXP network processor edit

The XScale core is utilized in the second generation of Intel's IXP network processor line, while the first generation used StrongARM cores. The IXP network processor family ranges from solutions aimed at small/medium office network applications, IXP4XX, to high performance network processors such as the IXP2850, capable of sustaining up to OC-192 line rates. In IXP4XX devices the XScale core is used as both a control and data plane processor, providing both system control and data processing. The task of the XScale in the IXP2XXX devices is typically to provide control plane functionality only, with data processing performed by the microengines, examples of such control plane tasks include routing table updates, microengine control, and memory management.

CE edit

In April 2007, Intel announced an XScale-based processor targeting consumer electronics markets, the Intel CE 2110 (codenamed Olo River).[28]

Applications edit

XScale microprocessors can be found in products such as the popular RIM BlackBerry handheld, the Dell Axim family of Pocket PCs, most of the Zire, Treo and Tungsten Handheld lines by Palm, later versions of the Sharp Zaurus, the Motorola A780, the Acer n50, the Compaq iPaq 3900 series and many other PDAs. It is used as the main CPU in the Iyonix PC desktop computer running RISC OS, and the NSLU2 (Slug) running a form of Linux. The XScale is also used in devices such as PVPs (Portable Video Players), PMCs (Portable Media Centres), including the Creative Zen Portable Media Player and Amazon Kindle E-Book reader, and industrial embedded systems. At the other end of the market, the XScale IOP33x Storage I/O processors are used in some Intel Xeon-based server platforms.

Sale of PXA processor line edit

On June 27, 2006, the sale of Intel's XScale PXA mobile processor assets was announced. Intel agreed to sell the XScale PXA business to Marvell Technology Group for an estimated $600 million in cash and the assumption of unspecified liabilities. The move was intended to permit Intel to focus its resources on its core x86 and server businesses. Marvell holds a full architecture license for ARM, allowing it to design chips to implement the ARM instruction set, not just license a processor core.[29]

The acquisition was completed on November 9, 2006. Intel was expected to continue manufacturing XScale processors until Marvell secures other manufacturing facilities, and would continue manufacturing and selling the IXP and IOP processors, as they were not part of the deal.[30]

The XScale effort at Intel was initiated by the purchase of the StrongARM division from Digital Equipment Corporation in 1998.[31] Intel still holds an ARM license even after the sale of XScale;[31] this license is at the architectural level.[32]

See also edit

References edit

  1. ^ "Marvell buys Intel's handheld processor unit for $600 million". EETimes.
  2. ^ "Intel Microarchitecture XScale" (PDF).
  3. ^ (PDF). May 2007. Archived from the original (PDF) on February 25, 2008.
  4. ^ "ARM Marvell SoCs — The Linux Kernel documentation". www.kernel.org. Retrieved 2021-01-27.
  5. ^ a b "PXA210 Processors Datasheet pdf - Application Processors. Equivalent, Catalog". datasheetspdf.com. Retrieved 2021-01-27.
  6. ^ 01net (14 February 2002). "Intel XScale, un processeur plus puissant pour les PDA". 01net (in French). Retrieved 2021-01-27.{{cite web}}: CS1 maint: numeric names: authors list (link)
  7. ^ "Documentation/arm/Marvell/README · 15a48d6f42683f0d53b131b824c18a7bf84978b1 · ARM / NXP / i.MX6 / BSP8 / release / linux-4-14-secoboards-imx6-rel". GitLab. Retrieved 2021-01-27.
  8. ^ (Press release). Marvell. November 29, 2006. Archived from the original on December 16, 2009.
  9. ^ "Intel Announces 'Wireless-Internet-On-A-Chip' For Cell Phones". Intel Newsroom. 2003-02-13. from the original on 2022-01-24. Retrieved 2022-01-24.
  10. ^ Kulish, Kim (2003-02-18). "Intel demonstrates the all-in one smartphone Manitoba PXA800F chip..." Getty Images. Corbis. Retrieved 2022-01-24.
  11. ^ Young, Doug (2003-02-13). "Intel launches cell phone chip, names endorsements". Reuters.
  12. ^ Smith, Tony (2005-07-19). "O2 XM music phone: Intel Mobile Media Technology debuts". The Register. from the original on 2022-01-24. Retrieved 2022-01-24.
  13. ^ Krazit, Tom (2005-06-06). "O2 finally gives Intel's Manitoba a home". PC World Australia. IDG News Service. from the original on 2022-01-24. Retrieved 2022-01-24.
  14. ^ Intel XScale PXA900 (Hermon) Application Processor with Modem Datasheet | CPUlist. PDAdb.net (2012-02-25). Retrieved on 2013-08-02.
  15. ^ Goldstein, Harry (2005-01-01). "Intel Tries, Tries Again". IEEE Spectrum. Retrieved 2022-01-24.
  16. ^ "Marvell Introduces Next Generation Application Processors for Smartphones, Handhelds and Consumer Electronic Devices". Marvell. 2006-11-29. Retrieved 2022-01-24.
  17. ^ . Archived from the original on April 16, 2010.
  18. ^ (in Dutch) Google Vertalen. Translate.google.nl. Retrieved on 2013-08-02.
  19. ^ a b (Press release). Marvell. September 8, 2009. Archived from the original on June 3, 2010.
  20. ^ a b Marvell PXA935 (Tavor-P65) Application Processor with Modem Datasheet | CPUlist. PDAdb.net (2012-02-25). Retrieved on 2013-08-02.
  21. ^ Marvell PXA930 (Tavor-MG1) Application Processor with Modem Datasheet | CPUlist. PDAdb.net (2012-02-25). Retrieved on 2013-08-02.
  22. ^ . extranet.marvell.com. Archived from the original on 3 August 2010. Retrieved 17 January 2022.
  23. ^ Blackberry Torch 9800 - Teardown : TechInsights. Ubmtechinsights.com (2012-10-25). Retrieved on 2013-08-02.
  24. ^ . Archived from the original on July 17, 2011. Retrieved November 12, 2010.
  25. ^ Fingas, Jon. (2012-08-14) Marvell PXA988, PXA986 chips support 3G for China, the world without reinventing the wheel (or phone). Engadget.com. Retrieved on 2013-08-02.
  26. ^ Samsung Galaxy Tab 3 Runs On A Marvell PXA986 Processor. Ubergizmo. Retrieved on 2013-08-02.
  27. ^ Gorman, Michael. (2013-02-19) Marvell announces PXA1088 quad-core SoC for globetrotting phones and tablets. Engadget.com. Retrieved on 2013-08-02.
  28. ^ "Intel System-On-A-Chip Media Processor Powers New Generation Of Consumer Electronics Devices".
  29. ^ . Archived from the original on August 2, 2009.
  30. ^ "Intel ditches mobile phone processors". Archived from the original on 2012-09-08.
  31. ^ a b "Intel sells XScale business to Marvell for $600m".
  32. ^ AMD Jumps Into The ARM Server Business. Forbes. Retrieved on 2013-08-02.

External links edit

  • Intel XScale Technology Overview[dead link]
  • IXP4XX Toolkits
  • Intel StrataFlash Memory[dead link]
  • Optimized Linux Code for Intel XScale Microarchitecture

xscale, model, railroad, scale, scale, microarchitecture, central, processing, units, initially, designed, intel, implementing, architecture, version, instruction, comprises, several, distinct, families, more, below, with, some, later, models, designed, system. For the model railroad scale see X scale XScale is a microarchitecture for central processing units initially designed by Intel implementing the ARM architecture version 5 instruction set XScale comprises several distinct families IXP IXC IOP PXA and CE see more below with some later models designed as system on a chip SoC Intel sold the PXA family to Marvell Technology Group in June 2006 1 Marvell then extended the brand to include processors with other microarchitectures like Arm s Cortex The XScale architecture is based on the ARMv5TE ISA without the floating point instructions XScale uses a seven stage integer and an eight stage memory super pipelined microarchitecture It is the successor to the Intel StrongARM line of microprocessors and microcontrollers which Intel acquired from DEC s Digital Semiconductor division as part of a settlement of a lawsuit between the two companies Intel used the StrongARM to replace its ailing line of outdated RISC processors the i860 and i960 All the generations of XScale are 32 bit ARMv5TE processors manufactured with a 0 18 mm or 0 13 mm as in IXP43x parts process and have a 32 KB data cache and a 32 KB instruction cache First and second generation XScale multi core processors also have a 2 KB mini data cache claimed it avoids thrashing of the D Cache for frequently changing data streams 2 Products based on the third generation XScale have up to 512 KB unified L2 cache 3 Contents 1 Processor families 1 1 PXA 1 1 1 PXA210 PXA25x 1 1 2 PXA26x 1 1 3 PXA27x 1 1 4 PXA3xx 1 1 5 PXA800F 1 1 6 PXA90x 1 1 7 PXA16x 1 1 8 PXA930 935 1 1 9 PXA940 1 1 10 PXA986 PXA988 1 1 11 PXA1088 1 2 IXC 1 2 1 IXC1100 1 3 IOP 1 4 IXP network processor 1 5 CE 2 Applications 3 Sale of PXA processor line 4 See also 5 References 6 External linksProcessor families editThe XScale core is used in a number of microcontroller families manufactured by Intel and Marvell Application processors with the prefix PXA There are four generations of XScale application processors described below PXA210 PXA25x PXA26x PXA27x and PXA3xx I O processors with the prefix IOP Network processors with the prefix IXP Control plane processors with the prefix IXC Consumer electronics processors with the prefix CE There are also standalone processors the 80200 and 80219 targeted primarily at PCI applications PXA edit PXA System on a Chip SoC products were designed in Austin Texas The code names for this product line are small towns in Texas primarily near deer hunting leases frequented by the Intel XScale core and mobile phone SoC marketing team Released date Code name ARM type Fab Size Pin count Clock speedsPXA21x and PXA26xPXA210 February 2002 ARMv5 XScale1 core 4 13x13mm 5 255 pin T PBGA 133 MHz and 200 MHzPXA250 Cotulla 17x17mm 5 256 pin PBGA 200 MHz 300 MHz and 400 MHz 6 PXA255 March 2003PXA26xPXA260 March 2003 Dalhart ARMv5 XScale1 core 7 200 MHz 300 MHz and 400 MHzPXA261PXA263PXA27xPXA270 April 2004 Bulverde ARMv5 XScale2 core 312 MHz 416 MHz 520 MHz and 624 MHzPXA271 13 104 208 MHz or 416 MHzPXA272 312 MHz 416 MHz or 520 MHzPXA3xxPXA300 August 2005 Monahans ARMv5 XScale3 corePXA310 806 MHzPXA320PXA90xPXA90x 130 nmPXA93xPXA930 Tavor ARMv5 XScale3 core 65 nmPXA935 45 nmPXA95xPXA955 ARMv7PXA210 PXA25x edit nbsp Intel PXA255The PXA210 was Intel s entry level XScale targeted at mobile phone applications It was released with the PXA250 in February 2002 and comes clocked at 133 MHz and 200 MHz The PXA25x family code named Cotulla consists of the PXA250 and PXA255 The PXA250 was Intel s first generation of XScale processors There was a choice of three clock speeds 200 MHz 300 MHz and 400 MHz It came out in February 2002 In March 2003 the revision C0 of the PXA250 was renamed to PXA255 The main differences were a doubled internal bus speed 100 MHz to 200 MHz for faster data transfer lower core voltage only 1 3 V at 400 MHz for lower power consumption and writeback functionality for the data cache the lack of which had severely impaired performance on the PXA250 Intel XScale Core Features ARMv5TE ARM Thumb ARM DSP L1 32 KByte data and instruction cachePXA26x edit The PXA26x family code named Dalhart consists of the PXA260 and PXA261 PXA263 The PXA260 is a stand alone processor clocked at the same frequency as the PXA25x but features a TPBGA package which is about 53 smaller than the PXA25x s PBGA package The PXA261 PXA263 are the same as the PXA260 but have Intel StrataFlash memory stacked on top of the processor in the same package 16 MB of 16 bit memory in the PXA261 32 MB of 16 bit memory in the PXA262 and 32 MB of 32 bit memory in the PXA263 The PXA26x family was released in March 2003 PXA27x edit nbsp Intel PXA270 with 624 MHzThe PXA27x family code named Bulverde consists of the PXA270 and PXA271 PXA272 processors This revision is a huge update to the XScale family of processors The PXA270 is clocked in four different speeds 312 MHz 416 MHz 520 MHz and 624 MHz and is a stand alone processor with no packaged memory The PXA271 can be clocked to 13 104 208 MHz or 416 MHz and has 32 MB of 16 bit stacked StrataFlash memory and 32 MB of 16 bit SDRAM in the same package The PXA272 can be clocked to 312 MHz 416 MHz or 520 MHz and has 64 MB of 32 bit stacked StrataFlash memory Intel also added many new technologies to the PXA27x family such as SpeedStep the operating system can clock the processor down based on load to save power Wireless MMX code named Concan iwMMXt 43 new SIMD instructions containing the full MMX instruction set and the integer instructions from Intel s SSE instruction set along with some instructions unique to the XScale Wireless MMX provides 16 extra 64 bit registers that can be treated as an array of two 32 bit words four 16 bit halfwords or eight 8 bit bytes The XScale core can then perform up to eight adds or four MACs in parallel in a single cycle This capability is used to boost speed in decoding and encoding of multimedia and in playing games Additional peripherals such as a USB Host interface and a camera interface Internal 256 KB SRAM to reduce power consumption and latency The PXA27x family was released in April 2004 Along with the PXA27x family Intel released the 2700G embedded graphics co processor code named Marathon PXA3xx edit nbsp Toradex Colibri XScale Monahans PXA290 SODIMM module Prototype Of Marvell PXA320 SODIMM module In August 2005 Intel announced the successor to Bulverde codenamed Monahans They demonstrated it showing its capability to play back high definition encoded video on a PDA screen The new processor was shown clocked at 1 25 GHz but Intel said it only offered a 25 increase in performance 800 MIPS for the 624 MHz PXA270 processor vs 1000 MIPS for 1 25 GHz Monahans An announced successor to the 2700G graphics processor code named Stanwood has since been canceled sd features of Stanwood are integrated into Monahans For extra graphics capabilities Intel recommends third party chips like the Nvidia GoForce chip family In November 2006 Marvell Semiconductor officially introduced the Monahans family as Marvell PXA320 PXA300 and PXA310 8 PXA320 is currently shipping in high volume and is scalable up to 806 MHz PXA300 and PXA310 deliver performance scalable to 624 MHz and are software compatible with PXA320 PXA800F edit Codenamed Manitoba Intel PXA800F was a SoC introduced by Intel in 2003 for use in GSM and GPRS enabled mobile phones The chip was built around an XScale processor core the likes of which had been used in PDAs clocked at 312 MHz and manufactured with a 0 13 mm process with 4 MB of integrated flash memory and a digital signal processor 9 A prototype board with the chip was demoed during the Intel Developer Forum 10 Intel noted it was in talks with leading mobile phone manufacturers such as Nokia Motorola Samsung Siemens and Sony Ericsson about incorporating Manitoba into their phones 11 O2 XM released in 2005 was the only mobile phone with a documented use of the Manitoba chip 12 An Intel executive stated that the chip version used in the phone was reworked to be less expensive than the initial one 13 PXA90x edit The PXA90x codenamed Hermon was a successor to Manitoba with 3G support The PXA90x is built using a 130 nm process 14 The SoC continued being marketed by Marvell as they acquired Intel s XScale business 15 16 PXA16x edit nbsp PXA168 System On Module by tianyeit comPXA16x is a processor designed by Marvell combining the earlier Intel designed PXA SoC components with a new ARMv5TE CPU core named Mohawk or PJ1 from Marvell s Sheeva family instead of using wdc Xscale or ARM design The CPU core is derived from the Feroceon core used in Marvell s embedded Kirkwood product line but extended for instruction level compatibility with the XScale IWMMX The PXA16x delivers strong performance at a mass market price point for cost sensitive consumer and embedded markets such as digital picture frames E Readers multifunction printer user interface UI displays interactive VoIP phones IP surveillance cameras and home control gadgets 17 PXA930 935 edit The PXA930 and PXA935 processor series were again built using the Sheeva microarchitecture developed by Marvell but upgraded to ARMv7 instruction set compatibility 18 This core is a so called Tri core architecture 19 codenamed Tavor Tri core means it supports the ARMv5TE ARMv6 and ARMv7 instruction sets 19 20 This new architecture was a significant leap from the old Xscale architecture The PXA930 uses 65 nm technology 21 while the PXA935 is built using the 45 nm process 20 The PXA930 is used in the BlackBerry Bold 9700 PXA940 edit Little is known about the PXA940 although it is known to be ARM Cortex A8 compliant 22 It is utilized in the BlackBerry Torch 9800 23 24 and is built using 45 nm technology PXA986 PXA988 edit After XScale and Sheeva the PXA98x uses the third CPU core design this time licensed directly from ARM in form of dual core Cortex A9 application processors 25 utilized by devices like Samsung Galaxy Tab 3 7 0 26 PXA1088 edit It is a quad core Cortex A7 application processor with Vivante GPU 27 IXC edit IXC1100 edit The IXC1100 processor features clock speeds at 266 400 and 533 MHz a 133 MHz bus 32 KB of instruction cache 32 KB of data cache and 2 KB of mini data cache It is also designed for low power consumption using 2 4 W at 533 MHz The chip comes in the 35 mm PBGA package IOP edit The IOP line of processors is designed to allow computers and storage devices to transfer data and increase performance by offloading I O functionality from the main CPU of the device The IOP3XX processors are based on the XScale architecture and designed to replace the older 80219 sd and i960 family of chips There are ten different IOP processors currently available IOP303 IOP310 IOP315 IOP321 IOP331 IOP332 IOP333 IOP341 IOP342 and IOP348 Clock speeds range from 100 MHz to 1 2 GHz The processors also differ in PCI bus type PCI bus speed memory type maximum memory allowable and the number of processor cores IXP network processor edit The XScale core is utilized in the second generation of Intel s IXP network processor line while the first generation used StrongARM cores The IXP network processor family ranges from solutions aimed at small medium office network applications IXP4XX to high performance network processors such as the IXP2850 capable of sustaining up to OC 192 line rates In IXP4XX devices the XScale core is used as both a control and data plane processor providing both system control and data processing The task of the XScale in the IXP2XXX devices is typically to provide control plane functionality only with data processing performed by the microengines examples of such control plane tasks include routing table updates microengine control and memory management CE edit In April 2007 Intel announced an XScale based processor targeting consumer electronics markets the Intel CE 2110 codenamed Olo River 28 Applications editXScale microprocessors can be found in products such as the popular RIM BlackBerry handheld the Dell Axim family of Pocket PCs most of the Zire Treo and Tungsten Handheld lines by Palm later versions of the Sharp Zaurus the Motorola A780 the Acer n50 the Compaq iPaq 3900 series and many other PDAs It is used as the main CPU in the Iyonix PC desktop computer running RISC OS and the NSLU2 Slug running a form of Linux The XScale is also used in devices such as PVPs Portable Video Players PMCs Portable Media Centres including the Creative Zen Portable Media Player and Amazon Kindle E Book reader and industrial embedded systems At the other end of the market the XScale IOP33x Storage I O processors are used in some Intel Xeon based server platforms Sale of PXA processor line editOn June 27 2006 the sale of Intel s XScale PXA mobile processor assets was announced Intel agreed to sell the XScale PXA business to Marvell Technology Group for an estimated 600 million in cash and the assumption of unspecified liabilities The move was intended to permit Intel to focus its resources on its core x86 and server businesses Marvell holds a full architecture license for ARM allowing it to design chips to implement the ARM instruction set not just license a processor core 29 The acquisition was completed on November 9 2006 Intel was expected to continue manufacturing XScale processors until Marvell secures other manufacturing facilities and would continue manufacturing and selling the IXP and IOP processors as they were not part of the deal 30 The XScale effort at Intel was initiated by the purchase of the StrongARM division from Digital Equipment Corporation in 1998 31 Intel still holds an ARM license even after the sale of XScale 31 this license is at the architectural level 32 See also editRedBoot open source bootloader the standard boot firmware shipped with XScale boards OMAP a once competing processor line from Texas Instruments List of Qualcomm Snapdragon systems on chip Qualcomm Exynos Samsung Comparison of ARMv7 A cores ARMReferences edit Marvell buys Intel s handheld processor unit for 600 million EETimes Intel Microarchitecture XScale PDF 3rd Generation Intel XScale R Microarchitecture Developer s Manual PDF May 2007 Archived from the original PDF on February 25 2008 ARM Marvell SoCs The Linux Kernel documentation www kernel org Retrieved 2021 01 27 a b PXA210 Processors Datasheet pdf Application Processors Equivalent Catalog datasheetspdf com Retrieved 2021 01 27 01net 14 February 2002 Intel XScale un processeur plus puissant pour les PDA 01net in French Retrieved 2021 01 27 a href Template Cite web html title Template Cite web cite web a CS1 maint numeric names authors list link Documentation arm Marvell README 15a48d6f42683f0d53b131b824c18a7bf84978b1 ARM NXP i MX6 BSP8 release linux 4 14 secoboards imx6 rel GitLab Retrieved 2021 01 27 Marvell Introduces Next Generation Application Processors Press release Marvell November 29 2006 Archived from the original on December 16 2009 Intel Announces Wireless Internet On A Chip For Cell Phones Intel Newsroom 2003 02 13 Archived from the original on 2022 01 24 Retrieved 2022 01 24 Kulish Kim 2003 02 18 Intel demonstrates the all in one smartphone Manitoba PXA800F chip Getty Images Corbis Retrieved 2022 01 24 Young Doug 2003 02 13 Intel launches cell phone chip names endorsements Reuters Smith Tony 2005 07 19 O2 XM music phone Intel Mobile Media Technology debuts The Register Archived from the original on 2022 01 24 Retrieved 2022 01 24 Krazit Tom 2005 06 06 O2 finally gives Intel s Manitoba a home PC World Australia IDG News Service Archived from the original on 2022 01 24 Retrieved 2022 01 24 Intel XScale PXA900 Hermon Application Processor with Modem Datasheet CPUlist PDAdb net 2012 02 25 Retrieved on 2013 08 02 Goldstein Harry 2005 01 01 Intel Tries Tries Again IEEE Spectrum Retrieved 2022 01 24 Marvell Introduces Next Generation Application Processors for Smartphones Handhelds and Consumer Electronic Devices Marvell 2006 11 29 Retrieved 2022 01 24 Marvell ARMADA 100 Processors product page Archived from the original on April 16 2010 in Dutch Google Vertalen Translate google nl Retrieved on 2013 08 02 a b Marvell Empowers Mass Market TD SCDMA OPhones with PXA920 Chipset Press release Marvell September 8 2009 Archived from the original on June 3 2010 a b Marvell PXA935 Tavor P65 Application Processor with Modem Datasheet CPUlist PDAdb net 2012 02 25 Retrieved on 2013 08 02 Marvell PXA930 Tavor MG1 Application Processor with Modem Datasheet CPUlist PDAdb net 2012 02 25 Retrieved on 2013 08 02 Marvell History of CPU extranet marvell com Archived from the original on 3 August 2010 Retrieved 17 January 2022 Blackberry Torch 9800 Teardown TechInsights Ubmtechinsights com 2012 10 25 Retrieved on 2013 08 02 BlackBerry Torch Front Archived from the original on July 17 2011 Retrieved November 12 2010 Fingas Jon 2012 08 14 Marvell PXA988 PXA986 chips support 3G for China the world without reinventing the wheel or phone Engadget com Retrieved on 2013 08 02 Samsung Galaxy Tab 3 Runs On A Marvell PXA986 Processor Ubergizmo Retrieved on 2013 08 02 Gorman Michael 2013 02 19 Marvell announces PXA1088 quad core SoC for globetrotting phones and tablets Engadget com Retrieved on 2013 08 02 Intel System On A Chip Media Processor Powers New Generation Of Consumer Electronics Devices About the Intel XScale Microarchitecture Archived from the original on August 2 2009 Intel ditches mobile phone processors Archived from the original on 2012 09 08 a b Intel sells XScale business to Marvell for 600m AMD Jumps Into The ARM Server Business Forbes Retrieved on 2013 08 02 External links editIntel XScale Technology Overview dead link IXP4XX Toolkits Intel StrataFlash Memory dead link Marvell PXA168 high performance processor product brief Optimized Linux Code for Intel XScale Microarchitecture Retrieved from https en wikipedia org w index php title XScale amp oldid 1184688503, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.