fbpx
Wikipedia

Sandy Bridge

Sandy Bridge is the codename for Intel's 32 nm microarchitecture used in the second generation of the Intel Core processors (Core i7, i5, i3). The Sandy Bridge microarchitecture is the successor to Nehalem and Westmere microarchitecture. Intel demonstrated a Sandy Bridge processor in 2009, and released first products based on the architecture in January 2011 under the Core brand.[2][3]

Sandy Bridge
General information
LaunchedJanuary 9, 2011; 12 years ago (January 9, 2011)
DiscontinuedSeptember 27, 2013 [1]
Product code80619 (extreme desktop)
80620 (server LGA1356)
80621 (server LGA2011)
80623 (desktop)
80627 (mobile)
Performance
Max. CPU clock rate1.60 GHz to 3.60 GHz
DMI speeds5.00 GT/s
Cache
L1 cache64 KB per core
L2 cache256 KB per core
L3 cache1 MB to 8 MB shared
10 MB to 15 MB (Extreme)
3 MB to 20 MB (Xeon)
Architecture and classification
MicroarchitectureSandy Bridge
Instruction setx86-64
Instructionsx86, x86-64
Extensions
Physical specifications
Transistors
Cores
  • 1–4 (4-6 Extreme, 2-8 Xeon)
GPU(s)HD Graphics
650 MHz to 1100 MHz
HD Graphics 2000
650 MHz to 1250 MHz
HD Graphics 3000
650 MHz to 1350 MHz
HD Graphics P3000
850 MHz to 1350 MHz
Socket(s)
Products, models, variants
Model(s)
History
PredecessorNehalem (Tock)
Westmere (Tick)
SuccessorIvy Bridge (Tick)
Haswell (Tock)
Support status
Unsupported
Bottom view of a Sandy Bridge i7-2600k
Top of a Sandy Bridge i5

Sandy Bridge is manufactured in the 32 nm process and has a soldered contact with the die and IHS (Integrated Heat Spreader), while Intel's subsequent generation Ivy Bridge uses a 22 nm die shrink and a TIM (Thermal Interface Material) between the die and the IHS.

Technology

Intel demonstrated a Sandy Bridge processor with A1 stepping at 2 GHz during the Intel Developer Forum in September 2009.[4]

Upgraded features from Nehalem include:

CPU

  • Intel Turbo Boost 2.0[5][6][7]
  • 32 KB data + 32 KB instruction L1 cache and 256 KB L2 cache per core[8]
  • Shared L3 cache which includes the processor graphics (LGA 1155)
  • 64-byte cache line size
  • New µOP cache, up to 1536-entry
  • Improved 3 integer ALU, 2 vector ALU and 2 AGU per core[9][10]
  • Two load/store operations per CPU cycle for each memory channel
  • Decoded micro-operation cache,[11] and enlarged, optimized branch predictor
  • Sandy Bridge retains the four branch predictors found in Nehalem: the branch target buffer (BTB), indirect branch target array, loop detector and renamed return stack buffer (RSB). Sandy Bridge has a single BTB that holds twice as many branch targets as the L1 and L2 BTBs in Nehalem.[12]
  • Improved performance for transcendental mathematics, AES encryption (AES instruction set), and SHA-1 hashing
  • 256-bit/cycle ring bus interconnect between cores, graphics, cache and System Agent Domain
  • Advanced Vector Extensions (AVX) 256-bit instruction set with wider vectors, new extensible syntax and rich functionality[13]
  • Up to 8 physical cores, or 16 logical cores through hyper-threading (From 6 core/12 thread)
  • Integration of the GMCH (integrated graphics and memory controller) and processor into a single die inside the processor package. In contrast, Sandy Bridge's predecessor, Clarkdale, has two separate dies (one for GMCH, one for processor) within the processor package. This tighter integration reduces memory latency even more.
  • A 14- to 19-stage instruction pipeline, depending on the micro-operation cache hit or miss[14]
  • Increased ROB to 168 entries (From 128)[15]
  • Larger Scheduler buffer (54-entry, up from 26-entry)
Translation lookaside buffer sizes[16][17]
Cache Page Size
Name Level 4 KB 2 MB 1 GB
DTLB 1st 64 32 4
ITLB 1st 128 8 / logical core none
STLB 2nd 512 none none
All translation lookaside buffers (TLBs) are 4-way associative.[citation needed]

GPU

  • Intel Quick Sync Video, hardware support for video encoding and decoding
  • Integrated graphics is now integrated on the same die

I/O

  • Integrated PCIe Controller

Models and steppings

All Sandy Bridge processors with one, two, or four cores report the same CPUID model 0206A7h[18] and are closely related. The stepping number cannot be seen from the CPUID but only from the PCI configuration space. The later Sandy Bridge-E processors with up to eight cores and no graphics are using CPUIDs 0206D6h and 0206D7h.[19] Ivy Bridge CPUs all have CPUID 0306A9h to date, and are built in four different configurations differing in the number of cores, L3 cache and GPU execution units:

Die codename CPUID Stepping Cores GPU
EUs
L3
cache
Sockets
Sandy Bridge-HE-4 0206A7h D2 04 012 08 MB LGA 1155, Socket G2,
BGA-1023, BGA-1224
Sandy Bridge-H-2 J1 02 04 MB LGA 1155, Socket G2,
BGA-1023
Sandy Bridge-M-2 Q0 006 03 MB
Sandy Bridge-EP-8 0206D6h C1 08 none 20 MB LGA 2011
0206D7h C2
Sandy Bridge-EP-4 0206D6h M0 04 10 MB LGA 2011
0206D7h M1

Performance

  • The average performance increase, according to IXBT Labs and Semi Accurate as well as many other benchmarking sites, at clock to clock is 11.3% compared to the Nehalem generation, which includes Bloomfield, Clarkdale, and Lynnfield processors.[20]
  • Around twice the integrated graphics performance compared to Clarkdale's (12 EUs comparison).

List of Sandy Bridge processors

1Processors featuring Intel's HD 3000 graphics are set in bold. Other processors feature HD 2000 graphics, HD graphics (Pentium and Celeron models) or no graphics core (Graphics Clock rate indicated by N/A).

  • This list may not contain all the Sandy Bridge processors released by Intel. A more complete listing can be found on Intel's website.

Desktop platform

[21][22][23]

Processor
branding and model
Cores
(threads)
CPU clock rate Graphics clock rate L3
Cache
TDP Release
date (Y-M-D)
Price
(USD)
Motherboard
Normal Turbo Normal Turbo Socket Interface Memory
Core i7
Extreme
3970X 6 (12) 3.5 GHz 4.0 GHz 15 MB 150 W 2012-11-12 $999 LGA
2011
DMI 2.0
PCIe 2.0[24]
Up to quad
channel
DDR3-1600[25]
3960X 3.3 GHz 3.9 GHz 130 W 2011-11-14
Core i7 3930K 3.2 GHz 3.8 GHz 12 MB $583
3820 4 (8) 3.6 GHz 10 MB 2012-02-13[26] $294
2700K 3.5 GHz 3.9 GHz 850 MHz 1350 MHz 8 MB 95 W 2011-10-24 $332 LGA
1155
DMI 2.0
PCIe 2.0
Up to dual
channel
DDR3-1333
2600K 3.4 GHz 3.8 GHz 2011-01-09 $317
2600 $294
2600S 2.8 GHz 65 W $306
Core i5 2550K 4 (4) 3.4 GHz 6 MB 95 W 2012-01-30 $225
2500K 3.3 GHz 3.7 GHz 850 MHz 1100 MHz 2011-01-09 $216
2500 $205
2500S 2.7 GHz 65 W $216
2500T 2.3 GHz 3.3 GHz 650 MHz 1250 MHz 45 W
2450P 3.2 GHz 3.5 GHz 95 W 2012-01-30 $195
2400 3.1 GHz 3.4 GHz 850 MHz 1100 MHz 2011-01-09 $184
2405S 2.5 GHz 3.3 GHz 65 W 2011-05-22 $205
2400S 2011-01-09 $195
2380P 3.1 GHz 3.4 GHz 95 W 2012-01-30 $177
2320 3.0 GHz 3.3 GHz 850 MHz 1100 MHz 2011-09-04
2310 2.9 GHz 3.2 GHz 2011-05-22
2300 2.8 GHz 3.1 GHz 2011-01-09
2390T 2 (4) 2.7 GHz 3.5 GHz 650 MHz 3 MB 35 W 2011-02-20 $195
Core i3 2120T 2.6 GHz 2011-09-04 $127
2100T 2.5 GHz 2011-02-20
2115C 2.0 GHz 25 W 2012-05 $241 BGA
1284
2130 3.4 GHz 850 MHz 1100 MHz 65 W 2011-09-04 $138 LGA
1155
2125 3.3 GHz $134
2120 2011-02-20 $138
2105 3.1 GHz 2011-05-22 $134
2102 Q2 2011 $127
2100 2011-02-20 $117
Pentium G870 2 (2) 2012-06-03 $86
G860 3.0 GHz 2011-09-04
G860T 2.6 GHz 650 MHz 35 W 2012-06-03 $75
G850 2.9 GHz 850 MHz 65 W 2011-05-24 $86
G840 2.8 GHz $75
G645 2.9 GHz 2012-09-03 $64 Up to dual
channel
DDR3-1066
G640 2.8 GHz 2012-06-03
G632 2.7 GHz Q3 2011
G630 2011-09-04 $75
G622 2.6 GHz Q2 2011
G620 2011-05-24 $64
G645T 2.5 GHz 650 MHz 35 W 2012-09-03
G640T 2.4 GHz 2012-06-03
G630T 2.3 GHz 2011-09-04 $70
G620T 2.2 GHz 2011-05-24
Celeron G555 2.7 GHz 850 MHz 1000 MHz 2 MB 65 W 2012-09-02 $52
G550 2.6 GHz 2012-06-03
G540 2.5 GHz 2011-09-04
G530 2.4 GHz $42
G550T 2.2 GHz 650 MHz 35 W 2012-09-02
G540T 2.1 GHz 2012-06-03
G530T 2.0 GHz 2011-09-04 $47
G470 1 (2) 1.5 MB 2013-06-09 $37 Up to dual
channel
DDR3-1333
G465 1.9 GHz 2012-09-02 Up to dual
channel
DDR3-1066
G460 1.8 GHz 2011-12-11
G440 1 (1) 1.6 GHz 1 MB 2011-09-04

Suffixes to denote:

  • K – Unlocked (adjustable CPU ratio up to 57 bins)
  • P – Versions clocked slightly higher than similar models, but with onboard-graphics deactivated
  • S – Performance-optimized lifestyle (low power with 65W TDP)
  • T – Power-optimized lifestyle (ultra low power with 35-45W TDP)
  • X – Extreme performance (adjustable CPU ratio with no ratio limit)

NOTE: 3970X, 3960X, 3930K, and 3820 are actually of Sandy Bridge-E edition.

Server platform

Sandy Bridge-EP
Socket Model Cores
(threads)
L3
Cache
CPU clock rate Interface Supported
memory
TDP Release
date
Price
(USD)
Base Turbo
LGA 2011

Quad Socket

Xeon

E5

4650 8 (16) 20MB 2.7 GHz 3.3 GHz 2× 8.0GT/s QPI

(DMI 2.0

40× PCIe 3.0)

DDR3-1600

130 W May 14, 2012 $3616
4650L 2.6 GHz 3.1 GHz 115 W
4640 2.4 GHz 2.8 GHz 95 W $2725
4620 16MB 2.2 GHz 2.6 GHz 2× 7.2GT/s QPI 4× DDR3-1333 $1611
4617 6 (6) 15MB 2.9 GHz 3.4 GHz 4× DDR3-1600 130 W
4610 6 (12) 2.4 GHz 2.9 GHz 4× DDR3-1333 95 W $1219
4607 12MB 2.2 GHz N/A 2× 6.0GT/s QPI 4× DDR3-1066 $885
4603 4 (8) 10MB 2.0 GHz $551
LGA 2011

Dual Socket

Xeon

E5

2687 W 8 (16) 20MB 3.1 GHz 3.8 GHz 2× 8.0GT/s QPI

(DMI 2.0

40× PCIe 3.0)

4× DDR3-1600 150 W March 6, 2012 $1885
2690 2.9 GHz 3.8 GHz 135 W $2057
2680 2.7 GHz 3.5 GHz 130 W $1723
2689 2.6 GHz 3.6 GHz 115 W OEM
2670 3.3 GHz $1552
2665 2.4 GHz 3.1 GHz $1440
2660 2.2 GHz 3.0 GHz 95 W $1329
2658 2.1 GHz 2.4 GHz $1186
2650 2.0 GHz 2.8 GHz $1107
2650L 1.8 GHz 2.3 GHz 70 W
2648L 2.1 GHz $1186
2667 6 (12) 15MB 2.9 GHz 3.5 GHz 130 W $1552
2640 2.5 GHz 3.0 GHz 2× 7.2GT/s QPI 4× DDR3-1333 95 W $884
2630 2.3 GHz 2.8 GHz $612
2620 2.0 GHz 2.5 GHz $406
2630L 60 W $662
2628L 1.8 GHz N/A July 22, 2013 OEM
2643 4 (8) 10MB 3.3 GHz 3.5 GHz 2× 8.0GT/s QPI 4× DDR3-1600 130 W March 6, 2012 $884
2637 2 (4) 5MB 3.0 GHz 80 W
2618L 4 (8) 10MB 1.8 GHz N/A 2× 6.4GT/s QPI 4× DDR3-1333 50 W July 22, 2013 OEM
2609 4 (4) 2.4 GHz 4× DDR3-1066 80 W March 6, 2012 $246
2603 1.8 GHz $202
LGA 2011 Xeon

E5

1660 6 (12) 15MB 3.3 GHz 3.9 GHz No QPI

(DMI 2.0

40× PCIe 3.0)

4× DDR3-1600 130 W March 6, 2012 $1080
1650 12MB 3.2 GHz 3.8 GHz $583
1620 4 (8) 10MB 3.6 GHz $294
1607 4 (4) 3.0 GHz N/A 4× DDR3-1066 $244
1603 2.8 GHz $198
Sandy Bridge-EN
Socket Model Cores
(threads)
L3
Cache
CPU clock rate Interface Supported
memory
TDP Release
date
Price
(USD)
Standard Turbo
LGA 1356

Dual Socket

Xeon E5 2470 8 (16) 20MB 2.3 GHz 3.1 GHz QPI

DMI 2.0

24× PCI-E 3.0

3× DDR3-1600 95 W May 14, 2012 $1440
2450 2.1 GHz 2.9 GHz $1106
2450L 1.8 GHz 2.3 GHz 70 W
2448L 2.1 GHz $1151
2449L 1.4 GHz 1.8 GHz 50 W OEM
2440 6 (12) 15MB 2.4 GHz 2.9 GHz 3× DDR3-1333 95 W $834
2430 2.2 GHz 2.7 GHz $551
2420 1.9 GHz 2.4 GHz $388
2430L 2.0 GHz 2.5 GHz 60 W $662
2428L 1.8 GHz 2.0 GHz $628
2418L 4 (8) 10MB 2.0 GHz 2.1 GHz 50 W $387
2407 4 (4) 2.2 GHz N/A 3x DDR3-1066 80 W $250
2403 1.8 GHz $192
LGA 1356 1428L 6 (12) 15MB 1.8 GHz 3x DDR3-1333 60 W $395
1410 4 (8) 10MB 2.8 GHz 3.2 GHz 80 W N/A
Pentium 1407 2 (2) 5MB 2.8 GHz N/A 3x DDR3-1066
1403 2.6 GHz
1405 1.2 GHz 1.8 GHz 40 W 2012-08 $143
Sandy Bridge Xeon
Socket Model Cores

(threads)

CPU clock rate L3Cache Integrated Graphics Interface Supported

memory

TDP Release

date

Price

(USD)

Standard Turbo
LGA 1155 Xeon

E3

1290 4 (8) 3.6 GHz 4.0 GHz 8MB N/A No QPI

(DMI 2.0

40× PCIe 3.0)

2× DDR3-1333 95 W May 29, 2011 $885
1280 3.5 GHz 3.9 GHz April 3, 2011 $612
1270 3.4 GHz 3.8 GHz 80 W $328
1240 3.3 GHz 3.7 GHz $250
1230 3.2 GHz 3.6 GHz $215
1220 4 (4) 3.1 GHz 3.4 GHz $189
1220L 2 (4) 2.2 GHz 3.4 GHz 3MB 20 W $189
1275 4 (8) 3.4 GHz 3.8 GHz 8MB HD Graphics P3000 95 W $339
1245 3.3 GHz 3.7 GHz $262
1235 3.2 GHz 3.6 GHz $240
1225 4 (4) 3.1 GHz 3.4 GHz 6MB $194
1265L 4 (8) 2.4 GHz 3.3 GHz 8MB 45 W OEM
1260L 2.4 GHz 3.3 GHz HD Graphics 2000 $294

Mobile platform

Target
segment
Processor
branding and model
Cores /
threads
CPU clock rate Graphics clock rate L3
cache
TDP Release
date
Price
(USD)
Motherboard
Normal Turbo
(1C/2C/4C)
Normal Turbo Interface Socket
Extreme Core i7
Extreme
2960XM 4 (8) 2.7 GHz 3.7/3.6/3.4 GHz 650 MHz 1300 MHz 8 MB 55 W 2011-09-04 $1096 *DMI 2.0
*Memory: Up to
dual channel
DDR3-1600 MHz
*PCIe 2.0
Socket G2 /
BGA-1224 (in embedded products)[27]
2920XM 2.5 GHz 3.5/3.4/3.2 GHz 2011-01-05
Performance Core i7 2860QM 2.5 GHz 3.6/3.5/3.3 GHz 45 W 2011-09-04 $568
2820QM 2.3 GHz 3.4/3.3/3.1 GHz 2011-01-05
2760QM 2.4 GHz 3.5/3.4/3.2 GHz 6 MB 2011-09-04 $378
2720QM 2.2 GHz 3.3/3.2/3.0 GHz 2011-01-05
2715QE 2.1 GHz 3.0/2.9/2.7 GHz 1200 MHz
2710QE
2675QM 2.2 GHz 3.1/3.0/2.8 GHz 1200 MHz 2011-10-02 *DMI 2.0
*Memory: Up to
dual channel
DDR3-1333 MHz
*PCIe 2.0
2670QM 1100 MHz
2635QM 2.0 GHz 2.9/2.8/2.6 GHz 1200 MHz 2011-01-05
2630QM 1100 MHz
Mainstream 2640M 2 (4) 2.8 GHz 3.5/3.3 GHz 1300 MHz 4 MB 35 W 2011-09-04 $346 Socket G2 /
BGA-1023 (in embedded products)[27]
2620M 2.7 GHz 3.4/3.2 GHz 2011-02-20
2649M 2.3 GHz 3.2/2.9 GHz 500 MHz 1100 MHz 25 W
2629M 2.1 GHz 3.0/2.7 GHz $311
2655LE 2.2 GHz 2.9/2.7 GHz 650 MHz 1000 MHz $346
2677M 1.8 GHz 2.9/2.6 GHz 350 MHz 1200 MHz 17 W 2011-06-20 $317
2637M 1.7 GHz 2.8/2.5 GHz $289
2657M 1.6 GHz 2.7/2.4 GHz 1000 MHz 2011-02-20 $317
2617M 1.5 GHz 2.6/2.3 GHz 950 MHz $289
2610UE 2.4/2.1 GHz 850 MHz $317
Core i5 2557M 1.7 GHz 2.7/2.4 GHz 1200 MHz 3 MB 2011-06-20 $250
2537M 1.4 GHz 2.3/2.0 GHz 900 MHz 2011-02-20
2467M 1.6 GHz 2.3/2.0 GHz 1150 MHz 2011-06-19
2540M 2.6 GHz 3.3/3.1 GHz 650 MHz 1300 MHz 35 W 2011-06-20 $266
2520M 2.5 GHz 3.2/3.0 GHz $225
2515E 3.1/2.8 GHz 1100 MHz $266
2510E
2450M 1300 MHz 2012-01 $225
2435M 2.4 GHz 3.0/2.7 GHz 2011-10-02 OEM
2430M 1200 MHz $225
2410M 2.3 GHz 2.9/2.6 GHz 2011-06-20
Core i3 2370M 2.4 GHz 1150 MHz 2012-01
2350M 2.3 GHz 2011-10-02
2348M 2013-01 OEM
2330E 2.2 GHz 1050 MHz 2011-06-19 $225
2330M 1100 MHz
2328M 2012-09
2312M 2.1 GHz Q2 2011 OEM
2310E 1050 MHz 2011-02-20
2310M 1100 MHz
2377M 1.5 GHz 350 MHz 1000 MHz 17 W Q3 2012 $225
2375M 2012-03
2367M 1.4 GHz 2011-10-02 $250
2365M 2012-09 $225
2357M 1.3 GHz 950 MHz 2011-06-19 OEM
2340UE 800 MHz $250
Pentium B915C 1.5 GHz 15 W 2012-05 $138
997 2 (2) 1.6 GHz 350 MHz 1000 MHz 2 MB 17 W 2012-09-30 $134
987 1.5 GHz Q3 2012
977 1.4 GHz 2012-01
967 1.3 GHz 2011-10-02
957 1.2 GHz 800 MHz 2011-06-19
B980 2.4 GHz 650 MHz 1150 MHz 35 W 2012-09 $125
B970 2.3 GHz 2012-01
B960 2.2 GHz 1100 MHz 2011-10-02 $134
B950 2.1 GHz 2011-06-19
B940 2.0 GHz
Celeron B840 1.9 GHz 1000 MHz 2011-09-04 $86
B830 1.8 GHz 1050 MHz 2012-09-30
B820[28] 1.7 GHz 2012-07-29
B815[29] 1.6 GHz 2012-01
B810E 1000 MHz 2011-06-19
B810 950 MHz 2011-03-13
B800 1.5 GHz 1000 MHz 2011-06-19 $80
887 350 MHz 17 W 09-30-2012 $86
877 1.4 GHz 2012-07-29
867 1.3 GHz January 2012 $134
857 1.2 GHz 2011-07-03
847 1.1 GHz 800 MHz 2011-06-19
847E
807 1 (2) 1.5 GHz 950 MHz 1.5 MB 2012-07-29 $70
725C 1.3 GHz 10 W 2012-05 $74
827E 1 (1) 1.4 GHz 350 MHz 800 MHz 17 W 2011-07-03 $107
797 950 MHz 2012-01
787 1.3 GHz 2011-07-03
B730 1.8 GHz 650 MHz 1000 MHz 35 W 2012-07-29 $70
B720[30] 1.7 GHz 2012-01
B710 1.6 GHz 2011-06-19
807UE 1.0 GHz 350 MHz 800 MHz 1 MB 10 W 2011-11 $117

Suffixes to denote:

  • M – Mobile processors
    • XM – Unlocked
    • QM – Quad-core
  • E – Embedded mobile processors
    • QE – Quad-core
    • LE – Performance-optimized
    • UE – Power-optimized

Cougar Point chipset flaw

On 31 January 2011, Intel issued a recall on all 67-series motherboards due to a flaw in the Cougar Point Chipset.[31] A hardware problem exists, in which the chipset's SATA II ports may fail over time, causing failure of connection to SATA devices, though data is not at risk.[32] Intel claims that this problem will affect only 5% of users over 3 years; however, heavier I/O workloads can exacerbate the problem.

Intel stopped production of flawed B2 stepping chipsets and began producing B3 stepping chipsets with the silicon fix. Shipping of these new chipsets started on 14 February 2011 and Intel estimated full recovery volume in April 2011.[33] Motherboard manufacturers (such as ASUS and Gigabyte Technology) and computer manufacturers (such as Dell and Hewlett-Packard) stopped selling products that involved the flawed chipset and offered support for affected customers. Options ranged from swapping for B3 motherboards to product refunds.[34][35]

Sandy Bridge processor sales were temporarily on hold, as one cannot use the CPU without a motherboard. However, processor release dates were not affected.[36] After two weeks, Intel continued shipping some chipsets, but manufacturers had to agree to a set of terms that will prevent customers from encountering the bug.[37]

Limitations

Overclocking

With Sandy Bridge, Intel has tied the speed of every bus (USB, SATA, PCI, PCI-E, CPU cores, Uncore, memory etc.) to a single internal clock generator issuing the basic 100 MHz Base Clock (BClk).[38] With CPUs being multiplier locked, the only way to overclock is to increase the BClk, which can be raised by only 5–7% without other hardware components failing. As a work around, Intel made available K/X-series processors, which feature unlocked multipliers; with a multiplier cap of 57 for Sandy Bridge.[39] For the Sandy Bridge-E platform, there is alternative method known as the BClk ratio overclock.[40]

During IDF (Intel Developer Forum) 2010, Intel demonstrated an unknown Sandy Bridge CPU running stably overclocked at 4.9 GHz on air cooling.[41][42]

Chipset

Non-K edition CPUs can overclock up to four bins from its turbo multiplier. Refer here for chipset support.

vPro remote-control

Sandy and Ivy Bridge processors with vPro capability have security features that can remotely disable a PC or erase information from hard drives. This can be useful in the case of a lost or stolen PC. The commands can be received through 3G signals, Ethernet, or Internet connections. AES encryption acceleration will be available, which can be useful for video conferencing and VoIP applications.[43][44]

Intel Insider

Sandy and Ivy Bridge processors contain a DRM technology that some video streaming web sites rely on to restrict use of their content. Such web sites offer 1080p streaming to users with such CPUs and downgrade the quality for other users.[45]

Software development kit

With the introduction of the Sandy Bridge microarchitecture, Intel also introduced the Intel Data Plane Development Kit (Intel DPDK) to help developers of communications applications take advantage of the platform in packet processing applications, and network processors.[46]

Roadmap

Intel demonstrated the Haswell architecture in September 2011, released in 2013 as the successor to Sandy Bridge and Ivy Bridge.[47]

Fixes

Microsoft has released a microcode update for selected Sandy Bridge and Ivy Bridge CPUs for Windows 7 and up that addresses stability issues. The update, however, negatively impacts Intel G3258 and 4010U CPU models.[48][49][50]

Trivia

Contains uROM implementation of Eight queens puzzle, supporting up-to 20x20, 76*2 bytes ROM, 83*4 bytes data, 185us for all 92 solutions of 8x8 board, 15.24sec for 15x15.[citation needed]

See also

References

  1. ^ Shvets, Gennadiy (September 26, 2012). "Intel discontinues second-generation Core i5 and i7 CPUs". CPU World. Retrieved 2020-07-29.
  2. ^ . December 28, 2010. Archived from the original on December 2, 2011. Retrieved November 11, 2011.
  3. ^ Brooke Crothers (December 15, 2010). . The Circuits Blog. CNET.com. Archived from the original on February 20, 2014. Retrieved November 11, 2011.
  4. ^ Anand Lal Shimpi (September 22, 2009). "IDF 2009 – Intel Shows off 22nm & 32nm, Sandy Bridge Demoed". AnandTech. Retrieved November 11, 2011.
  5. ^ (PDF). Archived from the original (PDF) on 2019-01-01. Retrieved 2015-11-30.{{cite web}}: CS1 maint: archived copy as title (link)
  6. ^ Chris Angelini (3 January 2011). "The System Agent And Turbo Boost 2.0". Tom's Hardware.
  7. ^ "Intel Turbo Boost Technology 2.0". Intel.
  8. ^ Lal Shimpi, Anand (October 12, 2011). "The Bulldozer Review: AMD FX-8150 Tested". Anandtech.
  9. ^ "Intel's Sandy Bridge Microarchitecture". RealWorldTech.com.
  10. ^ "Intel's Sandy Bridge Microarchitecture". RealWorldTech.com.
  11. ^ "Intel's Sandy Bridge Microarchitecture". RealWorldTech.com.
  12. ^ "Intel's Sandy Bridge Microarchitecture". RealWorldTech.com.
  13. ^ "Intel's Sandy Bridge Microarchitecture". RealWorldTech.com.
  14. ^ Lal Shimpi, Anand (2012-10-05). "Intel's Haswell Architecture Analyzed". AnandTech. Retrieved 2013-10-20.
  15. ^ "Sandy Bridge (client) - Microarchitectures - Intel - WikiChip". en.wikichip.org. Retrieved 2021-02-09.
  16. ^ "Intel 64 and IA-32 Architectures Optimization Reference Manual". Intel.com. Intel. Retrieved 2014-01-21.
  17. ^ "Intel 64 and IA-32 Architectures Optimization Reference Manual" (PDF). Intel.com. Intel. Retrieved 2014-01-21.
  18. ^ "Support for the Intel Core i5 Desktop Processor". Intel.com. Intel. 2012-02-22. Retrieved 2014-01-21.
  19. ^ (PDF). Archived from the original (PDF) on 2012-03-04. Retrieved 2011-12-21.{{cite web}}: CS1 maint: archived copy as title (link)
  20. ^ Anand Lal Shimpi. "The Sandy Bridge Review: Intel Core i7-2600K, i5-2500K and Core i3-2100 Tested". anandtech.com. Retrieved 27 May 2015.
  21. ^ "Intel's Sandy Bridge E-Series in Q4 2011". Tom's Hardware. 2011-02-11. Retrieved 2011-02-13.
  22. ^ "Additional Details on Sandy Bridge-E Processors, X79, and LGA2011". Anandtech. 2011-04-26. Retrieved 2011-04-30.
  23. ^ "Products (Formerly Sandy Bridge)". Official product web site. Intel. Retrieved November 11, 2011.
  24. ^ {12M-Cache-up-to-3_80-GHz} "Intel Core i7-3930K Processor (12M Cache, up to 3.80 GHz)". Retrieved 29 March 2012.
  25. ^ Angelini, Chris (September 12, 2011). "Intel Core i7-3960X (Sandy Bridge-E) And X79 Platform Preview". Tom's Hardware. Retrieved November 14, 2011.
  26. ^ Fuad Abazovic (January 6, 2012). . Fudzilla. Archived from the original on January 8, 2012. Retrieved January 6, 2012.
  27. ^ a b "2nd Generation Intel Core i7 Processors". Ark.intel.com. Retrieved 2014-01-21.
  28. ^ "Intel Mobile Celeron B820 - FF8062700848602". Cpu-world.com. Retrieved 2014-01-21.
  29. ^ "Intel Mobile Celeron B815 - FF8062701159901". Cpu-world.com. Retrieved 2014-01-21.
  30. ^ "Intel Mobile Celeron B720 - FF8062701084101". Cpu-world.com. Retrieved 2014-01-21.
  31. ^ محمد رضا پناهی (8 February 2011). "Sandy Bridge، راه حل‌ها، بازار ایران". سخت افزار: مشاوره و بررسی گجت های دیجیتال. Retrieved 27 May 2015.
  32. ^ "Intel Identifies Cougar Point Chipset Error, Halts Shipments". Tom's Hardware. 31 January 2011.
  33. ^ "Intel Identifies Chipset Design Error, Implementing Solution" (Press release). Intel Corporation. January 31, 2011.
  34. ^ "Intel chip bug affects HP, Dell, Samsung and Lenovo". BBC News. 2011-02-03.
  35. ^ "HP to offer refund for PCs with flawed Intel chip". Reuters. 2011-02-02.
  36. ^ "Intel to Ship Dual-core Sandy Bridge Chips on Feb. 20". PCWorld. 7 February 2011. Retrieved 27 May 2015.
  37. ^ "Intel to continue shipping flawed Sandy Bridge chipsets". Expert Reviews. Retrieved 27 May 2015.
  38. ^ Intel to limit Sandy Bridge Overclocking, Bit-Tech, July 22, 2010
  39. ^ Anand Lal Shimpi (September 14, 2010). "Intel's Sandy Bridge Architecture Exposed". AnandTech. p. 8. Retrieved November 11, 2011.
  40. ^ "Intel Core i7-3820 Extreme Edition CPU | Intel, Core i7-3820, CPU, Extreme Edition, Desktop, Processor, BX80619i73820, Benchmarks, Performance, Tests, Hank Tolman, Intel Core i7-3820 CPU Extreme Edition Desktop Processor BX80619i73820 Benchmark Performance Tests by Hank Tolman". Benchmarkreviews.com. 2012-03-05. Retrieved 2014-01-21.
  41. ^ . youtube.com. Archived from the original on 2011-01-04. Retrieved 27 May 2015.
  42. ^ . ZDNet. Archived from the original on 2010-09-21.
  43. ^ Hachman, Mark (2010-09-14). "Intel's 'Sandy Bridge' Chip to Include vPro Business Features". PC Magazine.
  44. ^ "Intel vPro Technology". Intel.
  45. ^ "Intel Insider". Intel.
  46. ^ Rick Merritt, Intel targets data plane with comms Soc, EE Times, February 2012
  47. ^ Crothers, Brooke (September 14, 2011). "Haswell chip completes Ultrabook 'revolution'". The Circuits Blog. CNET.com. Retrieved November 11, 2011.
  48. ^ "June 2015 Intel CPU microcode update for Windows". Retrieved 2020-11-07.
  49. ^ "Windows 7: June 2015 microcode update for Intel processors in Windows". Retrieved 2020-11-07.
  50. ^ "Windows update KB3064209 (G3258 & 4010U)". Retrieved 2020-11-07.

External links

  • Official Intel homepages for:
    • Sandy Bridge
  • Intel's AVX page (Fetched Oct 9, 2012)
  • Marco Chiappetta (January 2, 2011). "Intel Core i7-2600K and i5-2500K Processors Debut". HotHardware.com. Retrieved January 2, 2011.
  • David Kanter (September 25, 2010). "Intel's Sandy Bridge Microarchitecture". realworldtech.com. Retrieved December 16, 2010.
  • David Kanter (August 8, 2011). "Intel's Sandy Bridge Graphics Architecture". realworldtech.com. Retrieved November 4, 2011.
  • Gabriel Torres (December 30, 2010). . hardwaresecrets.com. Archived from the original on September 28, 2011. Retrieved January 16, 2011.
  • Andrew Van Til (January 3, 2011). "Intel Sandy Bridge: Core i5-2500K and DH67BL Motherboard". www.missingremote.com. Retrieved January 3, 2011.
  • Oded Lempel (July 28, 2013). "2nd Generation Intel Core Processor Family: Intel Core i7, i5 and i3" (PDF). www.hotchips.org. Retrieved January 21, 2014.

sandy, bridge, codename, intel, microarchitecture, used, second, generation, intel, core, processors, core, microarchitecture, successor, nehalem, westmere, microarchitecture, intel, demonstrated, processor, 2009, released, first, products, based, architecture. Sandy Bridge is the codename for Intel s 32 nm microarchitecture used in the second generation of the Intel Core processors Core i7 i5 i3 The Sandy Bridge microarchitecture is the successor to Nehalem and Westmere microarchitecture Intel demonstrated a Sandy Bridge processor in 2009 and released first products based on the architecture in January 2011 under the Core brand 2 3 Sandy BridgeGeneral informationLaunchedJanuary 9 2011 12 years ago January 9 2011 DiscontinuedSeptember 27 2013 1 Product code80619 extreme desktop 80620 server LGA1356 80621 server LGA2011 80623 desktop 80627 mobile PerformanceMax CPU clock rate1 60 GHz to 3 60 GHzDMI speeds5 00 GT sCacheL1 cache64 KB per coreL2 cache256 KB per coreL3 cache1 MB to 8 MB shared10 MB to 15 MB Extreme 3 MB to 20 MB Xeon Architecture and classificationMicroarchitectureSandy BridgeInstruction setx86 64Instructionsx86 x86 64ExtensionsMMX SSE SSE2 SSE3 SSSE3 SSE4 SSE4 1 SSE4 2 AVXVT x VT dAES NI CLMUL TXTPhysical specificationsTransistors504M to 2 27B 32nmCores1 4 4 6 Extreme 2 8 Xeon GPU s HD Graphics650 MHz to 1100 MHzHD Graphics 2000650 MHz to 1250 MHzHD Graphics 3000650 MHz to 1350 MHzHD Graphics P3000850 MHz to 1350 MHzSocket s LGA 1155 desktops and workstations LGA 2011 high end servers LGA 1356 low end dual processor servers Socket G2BGA 1023BGA 1224BGA 1284Products models variantsModel s Celeron SeriesPentium SeriesCore i3 i5 i7 i7 Extreme SeriesXeon E3 E5 SeriesHistoryPredecessorNehalem Tock Westmere Tick SuccessorIvy Bridge Tick Haswell Tock Support statusUnsupportedBottom view of a Sandy Bridge i7 2600k Top of a Sandy Bridge i5 Sandy Bridge is manufactured in the 32 nm process and has a soldered contact with the die and IHS Integrated Heat Spreader while Intel s subsequent generation Ivy Bridge uses a 22 nm die shrink and a TIM Thermal Interface Material between the die and the IHS Contents 1 Technology 1 1 CPU 1 2 GPU 1 3 I O 2 Models and steppings 3 Performance 4 List of Sandy Bridge processors 4 1 Desktop platform 4 2 Server platform 4 3 Mobile platform 5 Cougar Point chipset flaw 6 Limitations 6 1 Overclocking 6 2 Chipset 7 vPro remote control 8 Intel Insider 9 Software development kit 10 Roadmap 11 Fixes 12 Trivia 13 See also 14 References 15 External linksTechnology EditIntel demonstrated a Sandy Bridge processor with A1 stepping at 2 GHz during the Intel Developer Forum in September 2009 4 Upgraded features from Nehalem include CPU Edit Intel Turbo Boost 2 0 5 6 7 32 KB data 32 KB instruction L1 cache and 256 KB L2 cache per core 8 Shared L3 cache which includes the processor graphics LGA 1155 64 byte cache line size New µOP cache up to 1536 entry Improved 3 integer ALU 2 vector ALU and 2 AGU per core 9 10 Two load store operations per CPU cycle for each memory channel Decoded micro operation cache 11 and enlarged optimized branch predictor Sandy Bridge retains the four branch predictors found in Nehalem the branch target buffer BTB indirect branch target array loop detector and renamed return stack buffer RSB Sandy Bridge has a single BTB that holds twice as many branch targets as the L1 and L2 BTBs in Nehalem 12 Improved performance for transcendental mathematics AES encryption AES instruction set and SHA 1 hashing 256 bit cycle ring bus interconnect between cores graphics cache and System Agent Domain Advanced Vector Extensions AVX 256 bit instruction set with wider vectors new extensible syntax and rich functionality 13 Up to 8 physical cores or 16 logical cores through hyper threading From 6 core 12 thread Integration of the GMCH integrated graphics and memory controller and processor into a single die inside the processor package In contrast Sandy Bridge s predecessor Clarkdale has two separate dies one for GMCH one for processor within the processor package This tighter integration reduces memory latency even more A 14 to 19 stage instruction pipeline depending on the micro operation cache hit or miss 14 Increased ROB to 168 entries From 128 15 Larger Scheduler buffer 54 entry up from 26 entry Translation lookaside buffer sizes 16 17 Cache Page SizeName Level 4 KB 2 MB 1 GBDTLB 1st 64 32 4ITLB 1st 128 8 logical core noneSTLB 2nd 512 none noneAll translation lookaside buffers TLBs are 4 way associative citation needed GPU Edit Intel Quick Sync Video hardware support for video encoding and decoding Integrated graphics is now integrated on the same dieI O Edit Integrated PCIe ControllerModels and steppings EditAll Sandy Bridge processors with one two or four cores report the same CPUID model 0206A7h 18 and are closely related The stepping number cannot be seen from the CPUID but only from the PCI configuration space The later Sandy Bridge E processors with up to eight cores and no graphics are using CPUIDs 0206D6h and 0206D7h 19 Ivy Bridge CPUs all have CPUID 0306A9h to date and are built in four different configurations differing in the number of cores L3 cache and GPU execution units Die codename CPUID Stepping Cores GPUEUs L3cache SocketsSandy Bridge HE 4 0206A7h D2 0 4 0 12 0 8 MB LGA 1155 Socket G2 BGA 1023 BGA 1224Sandy Bridge H 2 J1 0 2 0 4 MB LGA 1155 Socket G2 BGA 1023Sandy Bridge M 2 Q0 00 6 0 3 MBSandy Bridge EP 8 0206D6h C1 0 8 none 20 MB LGA 20110206D7h C2Sandy Bridge EP 4 0206D6h M0 0 4 10 MB LGA 20110206D7h M1Performance EditThe average performance increase according to IXBT Labs and Semi Accurate as well as many other benchmarking sites at clock to clock is 11 3 compared to the Nehalem generation which includes Bloomfield Clarkdale and Lynnfield processors 20 Around twice the integrated graphics performance compared to Clarkdale s 12 EUs comparison List of Sandy Bridge processors Edit1Processors featuring Intel s HD 3000 graphics are set in bold Other processors feature HD 2000 graphics HD graphics Pentium and Celeron models or no graphics core Graphics Clock rate indicated by N A This list may not contain all the Sandy Bridge processors released by Intel A more complete listing can be found on Intel s website Desktop platform Edit 21 22 23 Processorbranding and model Cores threads CPU clock rate Graphics clock rate L3Cache TDP Releasedate Y M D Price USD MotherboardNormal Turbo Normal Turbo Socket Interface MemoryCore i7Extreme 3970X 6 12 3 5 GHz 4 0 GHz 15 MB 150 W 2012 11 12 999 LGA2011 DMI 2 0PCIe 2 0 24 Up to quadchannelDDR3 1600 25 3960X 3 3 GHz 3 9 GHz 130 W 2011 11 14Core i7 3930K 3 2 GHz 3 8 GHz 12 MB 5833820 4 8 3 6 GHz 10 MB 2012 02 13 26 2942700K 3 5 GHz 3 9 GHz 850 MHz 1350 MHz 8 MB 95 W 2011 10 24 332 LGA1155 DMI 2 0PCIe 2 0 Up to dualchannelDDR3 13332600K 3 4 GHz 3 8 GHz 2011 01 09 3172600 2942600S 2 8 GHz 65 W 306Core i5 2550K 4 4 3 4 GHz 6 MB 95 W 2012 01 30 2252500K 3 3 GHz 3 7 GHz 850 MHz 1100 MHz 2011 01 09 2162500 2052500S 2 7 GHz 65 W 2162500T 2 3 GHz 3 3 GHz 650 MHz 1250 MHz 45 W2450P 3 2 GHz 3 5 GHz 95 W 2012 01 30 1952400 3 1 GHz 3 4 GHz 850 MHz 1100 MHz 2011 01 09 1842405S 2 5 GHz 3 3 GHz 65 W 2011 05 22 2052400S 2011 01 09 1952380P 3 1 GHz 3 4 GHz 95 W 2012 01 30 1772320 3 0 GHz 3 3 GHz 850 MHz 1100 MHz 2011 09 042310 2 9 GHz 3 2 GHz 2011 05 222300 2 8 GHz 3 1 GHz 2011 01 092390T 2 4 2 7 GHz 3 5 GHz 650 MHz 3 MB 35 W 2011 02 20 195Core i3 2120T 2 6 GHz 2011 09 04 1272100T 2 5 GHz 2011 02 202115C 2 0 GHz 25 W 2012 05 241 BGA12842130 3 4 GHz 850 MHz 1100 MHz 65 W 2011 09 04 138 LGA11552125 3 3 GHz 1342120 2011 02 20 1382105 3 1 GHz 2011 05 22 1342102 Q2 2011 1272100 2011 02 20 117Pentium G870 2 2 2012 06 03 86G860 3 0 GHz 2011 09 04G860T 2 6 GHz 650 MHz 35 W 2012 06 03 75G850 2 9 GHz 850 MHz 65 W 2011 05 24 86G840 2 8 GHz 75G645 2 9 GHz 2012 09 03 64 Up to dualchannelDDR3 1066G640 2 8 GHz 2012 06 03G632 2 7 GHz Q3 2011G630 2011 09 04 75G622 2 6 GHz Q2 2011G620 2011 05 24 64G645T 2 5 GHz 650 MHz 35 W 2012 09 03G640T 2 4 GHz 2012 06 03G630T 2 3 GHz 2011 09 04 70G620T 2 2 GHz 2011 05 24Celeron G555 2 7 GHz 850 MHz 1000 MHz 2 MB 65 W 2012 09 02 52G550 2 6 GHz 2012 06 03G540 2 5 GHz 2011 09 04G530 2 4 GHz 42G550T 2 2 GHz 650 MHz 35 W 2012 09 02G540T 2 1 GHz 2012 06 03G530T 2 0 GHz 2011 09 04 47G470 1 2 1 5 MB 2013 06 09 37 Up to dualchannelDDR3 1333G465 1 9 GHz 2012 09 02 Up to dualchannelDDR3 1066G460 1 8 GHz 2011 12 11G440 1 1 1 6 GHz 1 MB 2011 09 04Suffixes to denote K Unlocked adjustable CPU ratio up to 57 bins P Versions clocked slightly higher than similar models but with onboard graphics deactivated S Performance optimized lifestyle low power with 65W TDP T Power optimized lifestyle ultra low power with 35 45W TDP X Extreme performance adjustable CPU ratio with no ratio limit NOTE 3970X 3960X 3930K and 3820 are actually of Sandy Bridge E edition Server platform Edit Main article Intel Sandy Bridge based Xeon microprocessors Sandy Bridge EP Socket Model Cores threads L3Cache CPU clock rate Interface Supportedmemory TDP Releasedate Price USD Base TurboLGA 2011 Quad Socket Xeon E5 4650 8 16 20MB 2 7 GHz 3 3 GHz 2 8 0GT s QPI DMI 2 040 PCIe 3 0 4 DDR3 1600 130 W May 14 2012 36164650L 2 6 GHz 3 1 GHz 115 W4640 2 4 GHz 2 8 GHz 95 W 27254620 16MB 2 2 GHz 2 6 GHz 2 7 2GT s QPI 4 DDR3 1333 16114617 6 6 15MB 2 9 GHz 3 4 GHz 4 DDR3 1600 130 W4610 6 12 2 4 GHz 2 9 GHz 4 DDR3 1333 95 W 12194607 12MB 2 2 GHz N A 2 6 0GT s QPI 4 DDR3 1066 8854603 4 8 10MB 2 0 GHz 551LGA 2011 Dual Socket Xeon E5 2687 W 8 16 20MB 3 1 GHz 3 8 GHz 2 8 0GT s QPI DMI 2 040 PCIe 3 0 4 DDR3 1600 150 W March 6 2012 18852690 2 9 GHz 3 8 GHz 135 W 20572680 2 7 GHz 3 5 GHz 130 W 17232689 2 6 GHz 3 6 GHz 115 W OEM2670 3 3 GHz 15522665 2 4 GHz 3 1 GHz 14402660 2 2 GHz 3 0 GHz 95 W 13292658 2 1 GHz 2 4 GHz 11862650 2 0 GHz 2 8 GHz 11072650L 1 8 GHz 2 3 GHz 70 W2648L 2 1 GHz 11862667 6 12 15MB 2 9 GHz 3 5 GHz 130 W 15522640 2 5 GHz 3 0 GHz 2 7 2GT s QPI 4 DDR3 1333 95 W 8842630 2 3 GHz 2 8 GHz 6122620 2 0 GHz 2 5 GHz 4062630L 60 W 6622628L 1 8 GHz N A July 22 2013 OEM2643 4 8 10MB 3 3 GHz 3 5 GHz 2 8 0GT s QPI 4 DDR3 1600 130 W March 6 2012 8842637 2 4 5MB 3 0 GHz 80 W2618L 4 8 10MB 1 8 GHz N A 2 6 4GT s QPI 4 DDR3 1333 50 W July 22 2013 OEM2609 4 4 2 4 GHz 4 DDR3 1066 80 W March 6 2012 2462603 1 8 GHz 202LGA 2011 Xeon E5 1660 6 12 15MB 3 3 GHz 3 9 GHz No QPI DMI 2 040 PCIe 3 0 4 DDR3 1600 130 W March 6 2012 10801650 12MB 3 2 GHz 3 8 GHz 5831620 4 8 10MB 3 6 GHz 2941607 4 4 3 0 GHz N A 4 DDR3 1066 2441603 2 8 GHz 198Sandy Bridge EN Socket Model Cores threads L3Cache CPU clock rate Interface Supportedmemory TDP Releasedate Price USD Standard TurboLGA 1356 Dual Socket Xeon E5 2470 8 16 20MB 2 3 GHz 3 1 GHz 1 QPI DMI 2 024 PCI E 3 0 3 DDR3 1600 95 W May 14 2012 14402450 2 1 GHz 2 9 GHz 11062450L 1 8 GHz 2 3 GHz 70 W2448L 2 1 GHz 11512449L 1 4 GHz 1 8 GHz 50 W OEM2440 6 12 15MB 2 4 GHz 2 9 GHz 3 DDR3 1333 95 W 8342430 2 2 GHz 2 7 GHz 5512420 1 9 GHz 2 4 GHz 3882430L 2 0 GHz 2 5 GHz 60 W 6622428L 1 8 GHz 2 0 GHz 6282418L 4 8 10MB 2 0 GHz 2 1 GHz 50 W 3872407 4 4 2 2 GHz N A 3x DDR3 1066 80 W 2502403 1 8 GHz 192LGA 1356 1428L 6 12 15MB 1 8 GHz 3x DDR3 1333 60 W 3951410 4 8 10MB 2 8 GHz 3 2 GHz 80 W N APentium 1407 2 2 5MB 2 8 GHz N A 3x DDR3 10661403 2 6 GHz1405 1 2 GHz 1 8 GHz 40 W 2012 08 143Sandy Bridge Xeon Socket Model Cores threads CPU clock rate L3Cache Integrated Graphics Interface Supported memory TDP Release date Price USD Standard TurboLGA 1155 Xeon E3 1290 4 8 3 6 GHz 4 0 GHz 8MB N A No QPI DMI 2 040 PCIe 3 0 2 DDR3 1333 95 W May 29 2011 8851280 3 5 GHz 3 9 GHz April 3 2011 6121270 3 4 GHz 3 8 GHz 80 W 3281240 3 3 GHz 3 7 GHz 2501230 3 2 GHz 3 6 GHz 2151220 4 4 3 1 GHz 3 4 GHz 1891220L 2 4 2 2 GHz 3 4 GHz 3MB 20 W 1891275 4 8 3 4 GHz 3 8 GHz 8MB HD Graphics P3000 95 W 3391245 3 3 GHz 3 7 GHz 2621235 3 2 GHz 3 6 GHz 2401225 4 4 3 1 GHz 3 4 GHz 6MB 1941265L 4 8 2 4 GHz 3 3 GHz 8MB 45 W OEM1260L 2 4 GHz 3 3 GHz HD Graphics 2000 294Mobile platform Edit Core i5 2515E and Core i7 2715QE processors have support for ECC memory and PCI express port bifurcation All mobile processors except Celeron and Pentium use Intel s Graphics subsystem HD 3000 12 EUs Targetsegment Processorbranding and model Cores threads CPU clock rate Graphics clock rate L3cache TDP Releasedate Price USD MotherboardNormal Turbo 1C 2C 4C Normal Turbo Interface SocketExtreme Core i7Extreme 2960XM 4 8 2 7 GHz 3 7 3 6 3 4 GHz 650 MHz 1300 MHz 8 MB 55 W 2011 09 04 1096 DMI 2 0 Memory Up todual channelDDR3 1600 MHz PCIe 2 0 Socket G2 BGA 1224 in embedded products 27 2920XM 2 5 GHz 3 5 3 4 3 2 GHz 2011 01 05Performance Core i7 2860QM 2 5 GHz 3 6 3 5 3 3 GHz 45 W 2011 09 04 5682820QM 2 3 GHz 3 4 3 3 3 1 GHz 2011 01 052760QM 2 4 GHz 3 5 3 4 3 2 GHz 6 MB 2011 09 04 3782720QM 2 2 GHz 3 3 3 2 3 0 GHz 2011 01 052715QE 2 1 GHz 3 0 2 9 2 7 GHz 1200 MHz2710QE2675QM 2 2 GHz 3 1 3 0 2 8 GHz 1200 MHz 2011 10 02 DMI 2 0 Memory Up todual channelDDR3 1333 MHz PCIe 2 02670QM 1100 MHz2635QM 2 0 GHz 2 9 2 8 2 6 GHz 1200 MHz 2011 01 052630QM 1100 MHzMainstream 2640M 2 4 2 8 GHz 3 5 3 3 GHz 1300 MHz 4 MB 35 W 2011 09 04 346 Socket G2 BGA 1023 in embedded products 27 2620M 2 7 GHz 3 4 3 2 GHz 2011 02 202649M 2 3 GHz 3 2 2 9 GHz 500 MHz 1100 MHz 25 W2629M 2 1 GHz 3 0 2 7 GHz 3112655LE 2 2 GHz 2 9 2 7 GHz 650 MHz 1000 MHz 3462677M 1 8 GHz 2 9 2 6 GHz 350 MHz 1200 MHz 17 W 2011 06 20 3172637M 1 7 GHz 2 8 2 5 GHz 2892657M 1 6 GHz 2 7 2 4 GHz 1000 MHz 2011 02 20 3172617M 1 5 GHz 2 6 2 3 GHz 950 MHz 2892610UE 2 4 2 1 GHz 850 MHz 317Core i5 2557M 1 7 GHz 2 7 2 4 GHz 1200 MHz 3 MB 2011 06 20 2502537M 1 4 GHz 2 3 2 0 GHz 900 MHz 2011 02 202467M 1 6 GHz 2 3 2 0 GHz 1150 MHz 2011 06 192540M 2 6 GHz 3 3 3 1 GHz 650 MHz 1300 MHz 35 W 2011 06 20 2662520M 2 5 GHz 3 2 3 0 GHz 2252515E 3 1 2 8 GHz 1100 MHz 2662510E2450M 1300 MHz 2012 01 2252435M 2 4 GHz 3 0 2 7 GHz 2011 10 02 OEM2430M 1200 MHz 2252410M 2 3 GHz 2 9 2 6 GHz 2011 06 20Core i3 2370M 2 4 GHz 1150 MHz 2012 012350M 2 3 GHz 2011 10 022348M 2013 01 OEM2330E 2 2 GHz 1050 MHz 2011 06 19 2252330M 1100 MHz2328M 2012 092312M 2 1 GHz Q2 2011 OEM2310E 1050 MHz 2011 02 202310M 1100 MHz2377M 1 5 GHz 350 MHz 1000 MHz 17 W Q3 2012 2252375M 2012 032367M 1 4 GHz 2011 10 02 2502365M 2012 09 2252357M 1 3 GHz 950 MHz 2011 06 19 OEM2340UE 800 MHz 250Pentium B915C 1 5 GHz 15 W 2012 05 138997 2 2 1 6 GHz 350 MHz 1000 MHz 2 MB 17 W 2012 09 30 134987 1 5 GHz Q3 2012977 1 4 GHz 2012 01967 1 3 GHz 2011 10 02957 1 2 GHz 800 MHz 2011 06 19B980 2 4 GHz 650 MHz 1150 MHz 35 W 2012 09 125B970 2 3 GHz 2012 01B960 2 2 GHz 1100 MHz 2011 10 02 134B950 2 1 GHz 2011 06 19B940 2 0 GHzCeleron B840 1 9 GHz 1000 MHz 2011 09 04 86B830 1 8 GHz 1050 MHz 2012 09 30B820 28 1 7 GHz 2012 07 29B815 29 1 6 GHz 2012 01B810E 1000 MHz 2011 06 19B810 950 MHz 2011 03 13B800 1 5 GHz 1000 MHz 2011 06 19 80887 350 MHz 17 W 09 30 2012 86877 1 4 GHz 2012 07 29867 1 3 GHz January 2012 134857 1 2 GHz 2011 07 03847 1 1 GHz 800 MHz 2011 06 19847E807 1 2 1 5 GHz 950 MHz 1 5 MB 2012 07 29 70725C 1 3 GHz 10 W 2012 05 74827E 1 1 1 4 GHz 350 MHz 800 MHz 17 W 2011 07 03 107797 950 MHz 2012 01787 1 3 GHz 2011 07 03B730 1 8 GHz 650 MHz 1000 MHz 35 W 2012 07 29 70B720 30 1 7 GHz 2012 01B710 1 6 GHz 2011 06 19807UE 1 0 GHz 350 MHz 800 MHz 1 MB 10 W 2011 11 117Suffixes to denote M Mobile processors XM Unlocked QM Quad core E Embedded mobile processors QE Quad core LE Performance optimized UE Power optimizedCougar Point chipset flaw EditOn 31 January 2011 Intel issued a recall on all 67 series motherboards due to a flaw in the Cougar Point Chipset 31 A hardware problem exists in which the chipset s SATA II ports may fail over time causing failure of connection to SATA devices though data is not at risk 32 Intel claims that this problem will affect only 5 of users over 3 years however heavier I O workloads can exacerbate the problem Intel stopped production of flawed B2 stepping chipsets and began producing B3 stepping chipsets with the silicon fix Shipping of these new chipsets started on 14 February 2011 and Intel estimated full recovery volume in April 2011 33 Motherboard manufacturers such as ASUS and Gigabyte Technology and computer manufacturers such as Dell and Hewlett Packard stopped selling products that involved the flawed chipset and offered support for affected customers Options ranged from swapping for B3 motherboards to product refunds 34 35 Sandy Bridge processor sales were temporarily on hold as one cannot use the CPU without a motherboard However processor release dates were not affected 36 After two weeks Intel continued shipping some chipsets but manufacturers had to agree to a set of terms that will prevent customers from encountering the bug 37 Limitations EditOverclocking Edit With Sandy Bridge Intel has tied the speed of every bus USB SATA PCI PCI E CPU cores Uncore memory etc to a single internal clock generator issuing the basic 100 MHz Base Clock BClk 38 With CPUs being multiplier locked the only way to overclock is to increase the BClk which can be raised by only 5 7 without other hardware components failing As a work around Intel made available K X series processors which feature unlocked multipliers with a multiplier cap of 57 for Sandy Bridge 39 For the Sandy Bridge E platform there is alternative method known as the BClk ratio overclock 40 During IDF Intel Developer Forum 2010 Intel demonstrated an unknown Sandy Bridge CPU running stably overclocked at 4 9 GHz on air cooling 41 42 Chipset Edit Non K edition CPUs can overclock up to four bins from its turbo multiplier Refer here for chipset support vPro remote control EditMain articles Intel vPro and Intel Active Management Technology Sandy and Ivy Bridge processors with vPro capability have security features that can remotely disable a PC or erase information from hard drives This can be useful in the case of a lost or stolen PC The commands can be received through 3G signals Ethernet or Internet connections AES encryption acceleration will be available which can be useful for video conferencing and VoIP applications 43 44 Intel Insider EditMain article Intel Insider Sandy and Ivy Bridge processors contain a DRM technology that some video streaming web sites rely on to restrict use of their content Such web sites offer 1080p streaming to users with such CPUs and downgrade the quality for other users 45 Software development kit EditWith the introduction of the Sandy Bridge microarchitecture Intel also introduced the Intel Data Plane Development Kit Intel DPDK to help developers of communications applications take advantage of the platform in packet processing applications and network processors 46 Roadmap EditIntel demonstrated the Haswell architecture in September 2011 released in 2013 as the successor to Sandy Bridge and Ivy Bridge 47 Fixes EditMicrosoft has released a microcode update for selected Sandy Bridge and Ivy Bridge CPUs for Windows 7 and up that addresses stability issues The update however negatively impacts Intel G3258 and 4010U CPU models 48 49 50 Trivia EditContains uROM implementation of Eight queens puzzle supporting up to 20x20 76 2 bytes ROM 83 4 bytes data 185us for all 92 solutions of 8x8 board 15 24sec for 15x15 citation needed See also Edit Electronics portalList of Intel CPU microarchitectures List of Macintosh models grouped by CPU typeReferences Edit Shvets Gennadiy September 26 2012 Intel discontinues second generation Core i5 and i7 CPUs CPU World Retrieved 2020 07 29 The Man Behind Sandy Bridge December 28 2010 Archived from the original on December 2 2011 Retrieved November 11 2011 Brooke Crothers December 15 2010 CES First Intel next gen laptops will be quad core The Circuits Blog CNET com Archived from the original on February 20 2014 Retrieved November 11 2011 Anand Lal Shimpi September 22 2009 IDF 2009 Intel Shows off 22nm amp 32nm Sandy Bridge Demoed AnandTech Retrieved November 11 2011 Archived copy PDF Archived from the original PDF on 2019 01 01 Retrieved 2015 11 30 a href Template Cite web html title Template Cite web cite web a CS1 maint archived copy as title link Chris Angelini 3 January 2011 The System Agent And Turbo Boost 2 0 Tom s Hardware Intel Turbo Boost Technology 2 0 Intel Lal Shimpi Anand October 12 2011 The Bulldozer Review AMD FX 8150 Tested Anandtech Intel s Sandy Bridge Microarchitecture RealWorldTech com Intel s Sandy Bridge Microarchitecture RealWorldTech com Intel s Sandy Bridge Microarchitecture RealWorldTech com Intel s Sandy Bridge Microarchitecture RealWorldTech com Intel s Sandy Bridge Microarchitecture RealWorldTech com Lal Shimpi Anand 2012 10 05 Intel s Haswell Architecture Analyzed AnandTech Retrieved 2013 10 20 Sandy Bridge client Microarchitectures Intel WikiChip en wikichip org Retrieved 2021 02 09 Intel 64 and IA 32 Architectures Optimization Reference Manual Intel com Intel Retrieved 2014 01 21 Intel 64 and IA 32 Architectures Optimization Reference Manual PDF Intel com Intel Retrieved 2014 01 21 Support for the Intel Core i5 Desktop Processor Intel com Intel 2012 02 22 Retrieved 2014 01 21 Archived copy PDF Archived from the original PDF on 2012 03 04 Retrieved 2011 12 21 a href Template Cite web html title Template Cite web cite web a CS1 maint archived copy as title link Anand Lal Shimpi The Sandy Bridge Review Intel Core i7 2600K i5 2500K and Core i3 2100 Tested anandtech com Retrieved 27 May 2015 Intel s Sandy Bridge E Series in Q4 2011 Tom s Hardware 2011 02 11 Retrieved 2011 02 13 Additional Details on Sandy Bridge E Processors X79 and LGA2011 Anandtech 2011 04 26 Retrieved 2011 04 30 Products Formerly Sandy Bridge Official product web site Intel Retrieved November 11 2011 12M Cache up to 3 80 GHz Intel Core i7 3930K Processor 12M Cache up to 3 80 GHz Retrieved 29 March 2012 Angelini Chris September 12 2011 Intel Core i7 3960X Sandy Bridge E And X79 Platform Preview Tom s Hardware Retrieved November 14 2011 Fuad Abazovic January 6 2012 Intel Core i7 3820 comes on February 13 Fudzilla Archived from the original on January 8 2012 Retrieved January 6 2012 a b 2nd Generation Intel Core i7 Processors Ark intel com Retrieved 2014 01 21 Intel Mobile Celeron B820 FF8062700848602 Cpu world com Retrieved 2014 01 21 Intel Mobile Celeron B815 FF8062701159901 Cpu world com Retrieved 2014 01 21 Intel Mobile Celeron B720 FF8062701084101 Cpu world com Retrieved 2014 01 21 محمد رضا پناهی 8 February 2011 Sandy Bridge راه حل ها بازار ایران سخت افزار مشاوره و بررسی گجت های دیجیتال Retrieved 27 May 2015 Intel Identifies Cougar Point Chipset Error Halts Shipments Tom s Hardware 31 January 2011 Intel Identifies Chipset Design Error Implementing Solution Press release Intel Corporation January 31 2011 Intel chip bug affects HP Dell Samsung and Lenovo BBC News 2011 02 03 HP to offer refund for PCs with flawed Intel chip Reuters 2011 02 02 Intel to Ship Dual core Sandy Bridge Chips on Feb 20 PCWorld 7 February 2011 Retrieved 27 May 2015 Intel to continue shipping flawed Sandy Bridge chipsets Expert Reviews Retrieved 27 May 2015 Intel to limit Sandy Bridge Overclocking Bit Tech July 22 2010 Anand Lal Shimpi September 14 2010 Intel s Sandy Bridge Architecture Exposed AnandTech p 8 Retrieved November 11 2011 Intel Core i7 3820 Extreme Edition CPU Intel Core i7 3820 CPU Extreme Edition Desktop Processor BX80619i73820 Benchmarks Performance Tests Hank Tolman Intel Core i7 3820 CPU Extreme Edition Desktop Processor BX80619i73820 Benchmark Performance Tests by Hank Tolman Benchmarkreviews com 2012 03 05 Retrieved 2014 01 21 YouTube youtube com Archived from the original on 2011 01 04 Retrieved 27 May 2015 IDF Intel 2010 Intel Overclocks Sandy Bridge CPU to 4 9 GHz outpaces 12 core AMD Opteron ZDNet Archived from the original on 2010 09 21 Hachman Mark 2010 09 14 Intel s Sandy Bridge Chip to Include vPro Business Features PC Magazine Intel vPro Technology Intel Intel Insider Intel Rick Merritt Intel targets data plane with comms Soc EE Times February 2012 Crothers Brooke September 14 2011 Haswell chip completes Ultrabook revolution The Circuits Blog CNET com Retrieved November 11 2011 June 2015 Intel CPU microcode update for Windows Retrieved 2020 11 07 Windows 7 June 2015 microcode update for Intel processors in Windows Retrieved 2020 11 07 Windows update KB3064209 G3258 amp 4010U Retrieved 2020 11 07 External links Edit Wikimedia Commons has media related to Sandy Bridge Official Intel homepages for Sandy Bridge Sandy Bridge E Sandy Bridge EN Sandy Bridge EP Intel s AVX page Fetched Oct 9 2012 Marco Chiappetta January 2 2011 Intel Core i7 2600K and i5 2500K Processors Debut HotHardware com Retrieved January 2 2011 David Kanter September 25 2010 Intel s Sandy Bridge Microarchitecture realworldtech com Retrieved December 16 2010 David Kanter August 8 2011 Intel s Sandy Bridge Graphics Architecture realworldtech com Retrieved November 4 2011 Gabriel Torres December 30 2010 Inside the Intel Sandy Bridge Microarchitecture hardwaresecrets com Archived from the original on September 28 2011 Retrieved January 16 2011 Andrew Van Til January 3 2011 Intel Sandy Bridge Core i5 2500K and DH67BL Motherboard www missingremote com Retrieved January 3 2011 Oded Lempel July 28 2013 2nd Generation Intel Core Processor Family Intel Core i7 i5 and i3 PDF www hotchips org Retrieved January 21 2014 Retrieved from https en wikipedia org w index php title Sandy Bridge amp oldid 1146995199, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.