fbpx
Wikipedia

Intel Core (microarchitecture)

The Intel Core microarchitecture (provisionally referred to as Next Generation Micro-architecture,[1] and developed as Merom)[2] is a multi-core processor microarchitecture launched by Intel in mid-2006. It is a major evolution over the Yonah, the previous iteration of the P6 microarchitecture series which started in 1995 with Pentium Pro. It also replaced the NetBurst microarchitecture, which suffered from high power consumption and heat intensity due to an inefficient pipeline designed for high clock rate. In early 2004 the new version of NetBurst (Prescott) needed very high power to reach the clocks it needed for competitive performance, making it unsuitable for the shift to dual/multi-core CPUs. On May 7, 2004 Intel confirmed the cancellation of the next NetBurst, Tejas and Jayhawk.[3] Intel had been developing Merom, the 64-bit evolution of the Pentium M, since 2001,[2] and decided to expand it to all market segments, replacing NetBurst in desktop computers and servers. It inherited from Pentium M the choice of a short and efficient pipeline, delivering superior performance despite not reaching the high clocks of NetBurst.[a]

Intel Core
General information
LaunchedJune 26, 2006; 17 years ago (June 26, 2006) (Xeon)
July 27, 2006; 17 years ago (July 27, 2006) (Core 2)
Performance
Max. CPU clock rate933 MHz to 3.5 GHz
FSB speeds533 MT/s to 1600 MT/s
Cache
L1 cache64 KB per core
L2 cache0.5 to 6 MB per two cores
L3 cache8 MB to 16 MB shared (Xeon 7400)
Architecture and classification
Technology node65 nm to 45 nm
MicroarchitectureCore
Instruction setx86-64
Instructionsx86, x86-64
Extensions
Physical specifications
Transistors
Cores
  • 1–4 (2-6 Xeon)
Socket(s)
Products, models, variants
Model(s)
History
Predecessor(s)NetBurst
Enhanced Pentium M (P6)
Successor(s)Penryn (tick)
(a version of Core)
Nehalem (tock)
Support status
Unsupported

The first processors that used this architecture were code-named 'Merom', 'Conroe', and 'Woodcrest'; Merom is for mobile computing, Conroe is for desktop systems, and Woodcrest is for servers and workstations. While architecturally identical, the three processor lines differ in the socket used, bus speed, and power consumption. The first Core-based desktop and mobile processors were branded Core 2, later expanding to the lower-end Pentium Dual-Core, Pentium and Celeron brands; while server and workstation Core-based processors were branded Xeon.

Features Edit

The Core microarchitecture returned to lower clock rates and improved the use of both available clock cycles and power when compared with the preceding NetBurst microarchitecture of the Pentium 4 and D-branded CPUs.[4] The Core microarchitecture provides more efficient decoding stages, execution units, caches, and buses, reducing the power consumption of Core 2-branded CPUs while increasing their processing capacity. Intel's CPUs have varied widely in power consumption according to clock rate, architecture, and semiconductor process, shown in the CPU power dissipation tables.

Like the last NetBurst CPUs, Core based processors feature multiple cores and hardware virtualization support (marketed as Intel VT-x), and Intel 64 and SSSE3. However, Core-based processors do not have the hyper-threading technology as in Pentium 4 processors. This is because the Core microarchitecture is based on the P6 microarchitecture used by Pentium Pro, II, III, and M.

The L1 cache of the Core microarchitecture at 64 KB L1 cache/core (32 KB L1 Data + 32 KB L1 Instruction) is as large as in Pentium M, up from 32 KB on Pentium II / III (16 KB L1 Data + 16 KB L1 Instruction). The consumer version also lacks an L3 cache as in the Gallatin core of the Pentium 4 Extreme Edition, though it is exclusively present in high-end versions of Core-based Xeons. Both an L3 cache and hyper-threading were reintroduced again to consumer line in the Nehalem microarchitecture.

Roadmap Edit

Technology Edit

 
Intel Core microarchitecture

While the Core microarchitecture is a major architectural revision, it is based in part on the Pentium M processor family designed by Intel Israel.[5] The pipeline of Core/Penryn is 14 stages long[6] – less than half of Prescott's. Penryn's successor Nehalem has a two cycles higher branch misprediction penalty than Core/Penryn.[7][8] Core can ideally sustain up to 4 instructions per cycle (IPC) execution rate, compared to the 3 IPC capability of P6, Pentium M and NetBurst microarchitectures. The new architecture is a dual core design with a shared L2 cache engineered for maximum performance per watt and improved scalability.

One new technology included in the design is Macro-Ops Fusion, which combines two x86 instructions into a single micro-operation. For example, a common code sequence like a compare followed by a conditional jump would become a single micro-op. However, this technology does not work in 64-bit mode.

Core can speculatively execute loads ahead of preceding stores with unknown addresses.[9]

Other new technologies include 1 cycle throughput (2 cycles previously) of all 128-bit SSE instructions and a new power saving design. All components will run at minimum speed, raising speed dynamically as needed (similar to AMD's Cool'n'Quiet power-saving technology, and Intel's own SpeedStep technology from earlier mobile processors). This allows the chip to produce less heat, and minimize power use.

For most Woodcrest CPUs, the front-side bus (FSB) runs at 1333 MT/s; however, this is scaled down to 1066 MT/s for lower end 1.60 and 1.86 GHz variants.[10][11] The Merom mobile variant was initially targeted to run at an FSB of 667 MT/s while the second wave of Meroms, supporting 800 MT/s FSB, were released as part of the Santa Rosa platform with a different socket in May 2007. The desktop-oriented Conroe began with models having an FSB of 800 MT/s or 1066 MT/s with a 1333 MT/s line officially launched on July 22, 2007.

The power use of these processors is very low: average energy use is to be in the 1–2 watt range in ultra low voltage variants, with thermal design powers (TDPs) of 65 watts for Conroe and most Woodcrests, 80 watts for the 3.0 GHz Woodcrest, and 40 or 35 watts for the low-voltage Woodcrest. In comparison, a 2.2 GHz AMD Opteron 875HE processor consumes 55 watts, while the energy efficient Socket AM2 line fits in the 35 watt thermal envelope (specified a different way so not directly comparable). Merom, the mobile variant, is listed at 35 watts TDP for standard versions and 5 watts TDP for ultra low voltage (ULV) versions.[citation needed]

Previously, Intel announced that it would now focus on power efficiency, rather than raw performance. However, at Intel Developer Forum (IDF) in spring 2006, Intel advertised both. Some of the promised numbers were:

  • 20% more performance for Merom at the same power level; compared to Core Duo
  • 40% more performance for Conroe at 40% less power; compared to Pentium D
  • 80% more performance for Woodcrest at 35% less power; compared to the original dual-core Xeon

Processor cores Edit

The processors of the Core microarchitecture can be categorized by number of cores, cache size, and socket; each combination of these has a unique code name and product code that is used across several brands. For instance, code name "Allendale" with product code 80557 has two cores, 2 MB L2 cache and uses the desktop socket 775, but has been marketed as Celeron, Pentium, Core 2, and Xeon, each with different sets of features enabled. Most of the mobile and desktop processors come in two variants that differ in the size of the L2 cache, but the specific amount of L2 cache in a product can also be reduced by disabling parts at production time. Tigerton dual-cores and all quad-core processors except - are multi-chip modules combining two dies. For the 65 nm processors, the same product code can be shared by processors with different dies, but the specific information about which one is used can be derived from the stepping.

fab cores Mobile Desktop, UP Server CL Server DP Server MP Server
Single-Core 65 nm 65 nm 1 Merom-L
80537
Conroe-L
80557
Single-Core 45 nm 45 nm 1 Penryn-L
80585
Wolfdale-CL
80588
Dual-Core 65 nm 65 nm 2 Merom-2M
80537
Merom
80537
Allendale
80557
Conroe
80557
Conroe-CL
80556
Woodcrest
80556
Tigerton-DC
80564
Dual-Core 45 nm 45 nm 2 Penryn-3M
80577
Penryn
80576
Wolfdale-3M
80571
Wolfdale
80570
Wolfdale-CL
80588
Wolfdale-DP
80573
Quad-Core 65 nm 65 nm 4 Kentsfield
80562
Clovertown
80563
Tigerton
80565
Quad-Core 45 nm 45 nm 4 Penryn-QC
80581
Yorkfield-6M
80580
Yorkfield
80569
Yorkfield-CL
80584
Harpertown
80574
Dunnington QC
80583
Six-Core 45 nm 45 nm 6 Dunnington
80582

Conroe/Merom (65 nm) Edit

The original Core 2 processors are based on the same dies that can be identified as CPUID Family 6 Model 15. Depending on their configuration and packaging, their code names are Conroe (LGA 775, 4 MB L2 cache), Allendale (LGA 775, 2 MB L2 cache), Merom (Socket M, 4 MB L2 cache) and Kentsfield (multi-chip module, LGA 775, 2x4MB L2 cache). Merom and Allendale processors with limited features are in Pentium Dual Core and Celeron processors, while Conroe, Allendale and Kentsfield also are sold as Xeon processors.

Additional code names for processors based on this model are Woodcrest (LGA 771, 4 MB L2 cache), Clovertown (MCM, LGA 771, 2×4MB L2 cache) and Tigerton (MCM, Socket 604, 2×4MB L2 cache), all of which are marketed only under the Xeon brand.

Processor Brand name Model (list) Cores L2 Cache Socket TDP
Merom-2M Mobile Core 2 Duo U7xxx 2 2 MB BGA479 10 W
Merom L7xxx 4 MB 17 W
Merom
Merom-2M
T5xxx
T7xxx
2–4 MB Socket M
Socket P
BGA479
35 W
Merom Mobile Core 2 Extreme X7xxx 2 4 MB Socket P 44 W
Merom Celeron M 5x0 1 1 MB Socket M
Socket P
30 W
Merom-2M 5x5 Socket P 31 W
Merom-2M Celeron Dual-Core T1xxx 2 512–1024 KB Socket P 35 W
Merom-2M Pentium Dual-Core T2xxx
T3xxx
2 1 MB Socket P 35 W
Allendale Xeon 3xxx 2 2 MB LGA 775 65 W
Conroe 3xxx 2–4 MB
Conroe and
Allendale
Core 2 Duo E4xxx 2 2 MB LGA 775 65 W
E6xx0 2–4 MB
Conroe-CL E6xx5 2–4 MB LGA 771
Conroe-XE Core 2 Extreme X6xxx 2 4 MB LGA 775 75 W
Allendale Pentium Dual-Core E2xxx 2 1 MB LGA 775 65 W
Allendale Celeron E1xxx 2 512 KB LGA 775 65 W
Kentsfield Xeon 32xx 4 2×4 MB LGA 775 95–105 W
Kentsfield Core 2 Quad Q6xxx 4 2×4 MB LGA 775 95–105 W
Kentsfield XE Core 2 Extreme QX6xxx 4 2×4 MB LGA 775 130 W
Woodcrest Xeon 51xx 2 4 MB LGA 771 65–80 W
Clovertown L53xx 4 2×4 MB LGA 771 40–50 W
E53xx 80 W
X53xx 120–150 W
Tigerton-DC E72xx 2 2×4 MB Socket 604 80 W
Tigerton L73xx 4 50 W
E73xx 2×2–2×4 MB 80 W
X73xx 2×4 MB 130 W

Conroe-L/Merom-L Edit

The Conroe-L and Merom-L processors are based around the same core as Conroe and Merom, but only contain a single core and 1 MB of L2 cache, significantly reducing production cost and power consumption of the processor at the expense of performance compared to the dual-core version. It is used only in ultra-low voltage Core 2 Solo U2xxx and in Celeron processors and is identified as CPUID family 6 model 22.

Processor Brand name Model (list) Cores L2 Cache Socket TDP
Merom-L Mobile Core 2 Solo U2xxx 1 2 MB BGA479 5.5 W
Merom-L Celeron M 5x0 1 512 KB Socket M
Socket P
27 W
Merom-L 5x3 512–1024 KB BGA479 5.5–10 W
Conroe-L Celeron M 4x0 1 512 KB LGA 775 35 W
Conroe-CL 4x5 LGA 771 65 W

Penryn/Wolfdale (45 nm) Edit

 
Wolfdale-type Core 2 Duo E8400 top view
 
Wolfdale-type Core 2 Duo E8400 perspective view

In Intel's Tick-Tock cycle, the 2007/2008 "Tick" was the shrink of the Core microarchitecture to 45 nanometers as CPUID model 23. In Core 2 processors, it is used with the code names Penryn (Socket P), Wolfdale (LGA 775) and Yorkfield (MCM, LGA 775), some of which are also sold as Celeron, Pentium and Xeon processors. In the Xeon brand, the Wolfdale-DP and Harpertown code names are used for LGA 771 based MCMs with two or four active Wolfdale cores.

Architecturally, 45 nm Core 2 processors feature SSE4.1 and new divide/shuffle engine.[12]

The chips come in two sizes, with 6 MB and 3 MB L2 cache. The smaller version is commonly called Penryn-3M and Wolfdale-3M and Yorkfield-6M, respectively. The single-core version of Penryn, listed as Penryn-L here, is not a separate model like Merom-L but a version of the Penryn-3M model with only one active core.

Processor Brand name Model (list) Cores L2 Cache Socket TDP
Penryn-L Core 2 Solo SU3xxx 1 3 MB BGA956 5.5 W
Penryn-3M Core 2 Duo SU7xxx 2 3 MB BGA956 10 W
SU9xxx
Penryn SL9xxx 6 MB 17 W
SP9xxx 25/28 W
Penryn-3M P7xxx 3 MB Socket P
FCBGA6
25 W
P8xxx
Penryn P9xxx 6 MB
Penryn-3M T6xxx 2 MB 35 W
T8xxx 3 MB
Penryn T9xxx 6 MB
E8x35 6 MB Socket P 35-55 W
Penryn-QC Core 2 Quad Q9xxx 4 2x3-2x6 MB Socket P 45 W
Penryn XE Core 2 Extreme X9xxx 2 6 MB Socket P 44 W
Penryn-QC QX9xxx 4 2x6 MB 45 W
Penryn-3M Celeron T3xxx 2 1 MB Socket P 35 W
SU2xxx µFC-BGA 956 10 W
Penryn-L 9x0 1 1 MB Socket P 35 W
7x3 µFC-BGA 956 10 W
Penryn-3M Pentium T4xxx 2 1 MB Socket P 35 W
SU4xxx 2 MB µFC-BGA 956 10 W
Penryn-L SU2xxx 1 5.5 W
Wolfdale-3M
Celeron E3xxx 2 1 MB LGA 775 65 W
Pentium E2210
E5xxx 2 MB
E6xxx
Core 2 Duo E7xxx 3 MB
Wolfdale E8xxx 6 MB
Xeon 31x0 45-65 W
Wolfdale-CL 30x4 1 LGA 771 30 W
31x3 2 65 W
Yorkfield Xeon X33x0 4 2×3–2×6 MB LGA 775 65–95 W
Yorkfield-CL X33x3 LGA 771 80 W
Yorkfield-6M Core 2 Quad Q8xxx 2×2 MB LGA 775 65–95 W
Q9x0x 2×3 MB
Yorkfield Q9x5x 2×6 MB
Yorkfield XE Core 2 Extreme QX9xxx 2×6 MB 130–136 W
QX9xx5 LGA 771 150 W
Wolfdale-DP Xeon E52xx 2 6 MB LGA 771 65 W
L52xx 20-55 W
X52xx 80 W
Harpertown E54xx 4 2×6 MB LGA 771 80 W
L54xx 40-50 W
X54xx 120-150 W

Dunnington Edit

The Xeon "Dunnington" processor (CPUID Family 6, model 29) is closely related to Wolfdale but comes with six cores and an on-chip L3 cache and is designed for servers with Socket 604, so it is marketed only as Xeon, not as Core 2.

Processor Brand name Model (list) Cores L3 cache Socket TDP
Dunnington Xeon E74xx 4-6 8-16 MB Socket 604 90 W
L74xx 4-6 12 MB 50-65 W
X7460 6 16 MB 130 W

Steppings Edit

The Core microarchitecture uses several stepping levels (steppings), which unlike prior microarchitectures, represent incremental improvements, and different sets of features like cache size and low power modes. Most of these steppings are used across brands, typically by disabling some features and limiting clock frequencies on low-end chips.

Steppings with a reduced cache size use a separate naming scheme, which means that the releases are no longer in alphabetic order. Added steppings have been used in internal and engineering samples, but are unlisted in the tables.

Many of the high-end Core 2 and Xeon processors use Multi-chip modules of two chips in order to get larger cache sizes or more than two cores.

Steppings using 65 nm process Edit

Mobile (Merom) Desktop (Conroe) Desktop (Kentsfield) Server (Woodcrest, Clovertown, Tigerton)
Stepping Released Area CPUID L2 cache Max. clock Celeron Pentium Core 2 Celeron Pentium Core 2 Xeon Core 2 Xeon Xeon
B2 Jul 2006 143 mm² 06F6 4 MB 2.93 GHz M5xx T5000 T7000 L7000 E6000 X6000 3000 5100
B3 Nov 2006 143 mm² 06F7 4 MB 3.00 GHz Q6000 QX6000 3200 5300
L2 Jan 2007 111 mm² 06F2 2 MB 2.13 GHz T5000 U7000 E2000 E4000 E6000 3000
E1 May 2007 143 mm² 06FA 4 MB 2.80 GHz M5xx T7000 L7000 X7000
G0 Apr 2007 143 mm² 06FB 4 MB 3.00 GHz M5xx T7000 L7000 X7000 E2000 E4000 E6000 3000 Q6000 QX6000 3200 5100 5300 7200 7300
G2 Mar 2009[13] 143 mm² 06FB 4 MB 2.16 GHz M5xx T5000 T7000 L7000
M0 Jul 2007 111 mm² 06FD 2 MB 2.40 GHz 5xx T1000 T2000 T3000 T5000 T7000 U7000 E1000 E2000 E4000
A1 Jun 2007 81 mm²[b] 10661 1 MB 2.20 GHz M5xx U2000 220 4x0

Early ES/QS steppings are: B0 (CPUID 6F4h), B1 (6F5h) and E0 (6F9h).

Steppings B2/B3, E1, and G0 of model 15 (cpuid 06fx) processors are evolutionary steps of the standard Merom/Conroe die with 4 MB L2 cache, with the short-lived E1 stepping only being used in mobile processors. Stepping L2 and M0 are the Allendale chips with just 2 MB L2 cache, reducing production cost and power consumption for low-end processors.

The G0 and M0 steppings improve idle power consumption in C1E state and add the C2E state in desktop processors. In mobile processors, all of which support C1 through C4 idle states, steppings E1, G0, and M0 add support for the Mobile Intel 965 Express (Santa Rosa) platform with Socket P, while the earlier B2 and L2 steppings only appear for the Socket M based Mobile Intel 945 Express (Napa refresh) platform.

The model 22 stepping A1 (cpuid 10661h) marks a significant design change, with just a single core and 1 MB L2 cache further reducing the power consumption and manufacturing cost for the low-end. Like the earlier steppings, A1 is not used with the Mobile Intel 965 Express platform.

Steppings G0, M0 and A1 mostly replaced all older steppings in 2008. In 2009, a new stepping G2 was introduced to replace the original stepping B2.[16]

Steppings using 45 nm process Edit

Mobile (Penryn) Desktop (Wolfdale) Desktop (Yorkfield) Server (Wolfdale-DP, Harpertown, Dunnington)
Stepping Released Area CPUID L2 cache Max. clock Celeron Pentium Core 2 Celeron Pentium Core 2 Xeon Core 2 Xeon Xeon
C0 Nov 2007 107 mm² 10676 6 MB 3.00 GHz E8000 P7000 T8000 T9000 P9000 SP9000 SL9000 X9000 E8000 3100 QX9000 5200 5400
M0 Mar 2008 82 mm² 10676 3 MB 2.40 GHz 7xx SU3000 P7000 P8000 T8000 SU9000 E5000 E2000 E7000
C1 Mar 2008 107 mm² 10677 6 MB 3.20 GHz Q9000 QX9000 3300
M1 Mar 2008 82 mm² 10677 3 MB 2.50 GHz Q8000 Q9000 3300
E0 Aug 2008 107 mm² 1067A 6 MB 3.33 GHz T9000 P9000 SP9000 SL9000 Q9000 QX9000 E8000 3100 Q9000 Q9000S QX9000 3300 5200 5400
R0 Aug 2008 82 mm² 1067A 3 MB 2.93 GHz 7xx 900 SU2000 T3000 T4000 SU2000 SU4000 SU3000 T6000 SU7000 P8000 SU9000 E3000 E5000 E6000 E7000 Q8000 Q8000S Q9000 Q9000S 3300
A1 Sep 2008 503 mm² 106D1 3 MB 2.67 GHz 7400

In the model 23 (cpuid 01067xh), Intel started marketing stepping with full (6 MB) and reduced (3 MB) L2 cache at the same time, and giving them identical cpuid values. All steppings have the new SSE4.1 instructions. Stepping C1/M1 was a bug fix version of C0/M0 specifically for quad core processors and only used in those. Stepping E0/R0 adds two new instructions (XSAVE/XRSTOR) and replaces all earlier steppings.

In mobile processors, stepping C0/M0 is only used in the Intel Mobile 965 Express (Santa Rosa refresh) platform, whereas stepping E0/R0 supports the later Intel Mobile 4 Express (Montevina) platform.

Model 30 stepping A1 (cpuid 106d1h) adds an L3 cache and six instead of the usual two cores, which leads to an unusually large die size of 503 mm².[17] As of February 2008, it has only found its way into the very high-end Xeon 7400 series (Dunnington).

System requirements Edit

Motherboard compatibility Edit

Conroe, Conroe XE and Allendale all use Socket LGA 775; however, not every motherboard is compatible with these processors.

Supporting chipsets are:

The Yorkfield XE model QX9770 (45 nm with 1600 MT/s FSB) has limited chipset compatibility - with only X38, P35 (With Overclocking) and some high-performance X48 and P45 motherboards being compatible. BIOS updates were gradually being released to provide support for the Penryn technology, and the QX9775 is only compatible with the Intel D5400XS motherboard. The Wolfdale-3M model E7200 also has limited compatibility (at least the Xpress 200 chipset is incompatible)[citation needed].

Although a motherboard may have the required chipset to support Conroe, some motherboards based on the above-mentioned chipsets do not support Conroe. This is because all Conroe-based processors require a new power delivery feature set specified in Voltage Regulator-Down (VRD) 11.0. This requirement is a result of Conroe's significantly lower power consumption, compared to the Pentium 4/D CPUs it replaced. A motherboard that has both a supporting chipset and VRD 11 supports Conroe processors, but even then some boards will need an updated BIOS to recognize Conroe's FID (Frequency ID) and VID (Voltage ID).

Synchronous memory modules Edit

Unlike the prior Pentium 4 and Pentium D design, the Core 2 technology sees a greater benefit from memory running synchronously with the front-side bus (FSB). This means that for the Conroe CPUs with FSB of 1066 MT/s, the ideal memory performance for DDR2 is PC2-8500. In a few configurations, using PC2-5300 instead of PC2-4200 can actually decrease performance. Only when going to PC2-6400 is there a significant performance increase. While DDR2 memory models with tighter timing specifications do improve performance, the difference in real world games and applications is often negligible.[18]

Optimally, the memory bandwidth afforded should match the bandwidth of the FSB, that is to say that a CPU with a 533 MT/s rated bus speed should be paired with RAM matching the same rated speed, for example DDR2 533, or PC2-4200. A common myth[citation needed] is that installing interleaved RAM will offer double the bandwidth. However, at most the increase in bandwidth by installing interleaved RAM is roughly 5–10%. The used by all NetBurst processors and current and medium-term (pre-QuickPath) Core 2 processors provide a 64-bit data path. Current chipsets provide for a couple of either DDR2 or DDR3 channels.

Matched processor and RAM ratings
Processor model Front-side bus Matched memory and maximum bandwidth
single channel, dual channel
DDR DDR2 DDR3
Mobile: T5200, T5300, U2n00, U7n00 533 MT/s PC-3200 (DDR-400)
3.2 GB/s
PC2-4200 (DDR2-533)
4.264 GB/s
PC2-8500 (DDR2-1066)
8.532 GB/s
PC3-8500 (DDR3-1066)
8.530 GB/s
Desktop: E6n00, E6n20, X6n00, E7n00, Q6n00 and QX6n00
Mobile: T9400, T9550, T9600, P7350, P7450, P8400, P8600, P8700, P9500, P9600, SP9300, SP9400, X9100
1066 MT/s
Mobile: T5n00, T5n50, T7n00 (Socket M), L7200, L7400 667 MT/s PC-3200 (DDR-400)
3.2 GB/s
PC2-5300 (DDR2-667)
5.336 GB/s
PC3-10600 (DDR3-1333)
10.670 GB/s
Desktop: E6n40, E6n50, E8nn0, Q9nn0, QX6n50, QX9650 1333 MT/s
Mobile: T5n70, T6400, T7n00 (Socket P), L7300, L7500, X7n00, T8n00, T9300, T9500, X9000
Desktop: E4n00, Pentium E2nn0, Pentium E5nn0, Celeron 4n0, E3n00
800 MT/s PC-3200 (DDR-400)
3.2 GB/s
PC-3200 (DDR-400)
3.2 GB/s
PC2-6400 (DDR2-800)
6.400 GB/s
PC2-8500 (DDR2-1066)
8.532 GB/s
PC3-6400 (DDR3-800)
6.400 GB/s
PC3-12800 (DDR3-1600)
12.800 GB/s
Desktop: QX9770, QX9775 1600 MT/s

On jobs requiring large amounts of memory access, the quad-core Core 2 processors can benefit significantly[19] from using PC2-8500 memory, which runs at the same speed as the CPU's FSB; this is not an officially supported configuration, but several motherboards support it.

The Core 2 processor does not require the use of DDR2. While the Intel 975X and P965 chipsets require this memory, some motherboards and chipsets support both Core 2 processors and DDR memory. When using DDR memory, performance may be reduced because of the lower available memory bandwidth.

Chip errata Edit

The Core 2 memory management unit (MMU) in X6800, E6000 and E4000 processors does not operate to prior specifications implemented in prior generations of x86 hardware. This may cause problems, many of them serious security and stability issues, with extant operating system software. Intel's documentation states that their programming manuals will be updated "in the coming months" with information on recommended methods of managing the translation lookaside buffer (TLB) for Core 2 to avoid issues, and admits that, "in rare instances, improper TLB invalidation may result in unpredictable system behavior, such as hangs or incorrect data."[20]

Among the issues stated:

  • Non-execute bit is shared across the cores.
  • Floating point instruction non-coherencies.
  • Allowed memory corruptions outside of the range of permitted writing for a process by running common instruction sequences.

Intel errata Ax39, Ax43, Ax65, Ax79, Ax90, Ax99 are said to be particularly serious.[21] 39, 43, 79, which can cause unpredictable behavior or system hang, have been fixed in recent steppings.

Among those who have stated the errata to be particularly serious are OpenBSD's Theo de Raadt[22] and DragonFly BSD's Matthew Dillon.[23] Taking a contrasting view was Linus Torvalds, calling the TLB issue "totally insignificant", adding, "The biggest problem is that Intel should just have documented the TLB behavior better."[24]

Microsoft has issued update KB936357 to address the errata by microcode update,[25] with no performance penalty. BIOS updates are also available to fix the issue.

See also Edit

References Edit

  1. ^ NetBurst had reached 3.8 GHz in 2004. Core initially reached 3 GHz, and after moving to 45nm in Penryn would reach 3.5 GHz. Westmere, the ultimate evolution of P6, reached 3.6 GHz base and 3.86 GHz boost frequency. (Excluding the 4.4 GHz special-order Xeons.)
  2. ^ 77 mm² according to Intel,[14] 80 mm² according to Hiroshige Goto[15]
  1. ^ Bessonov, Oleg (September 9, 2005). "New Wine into Old Skins. Conroe: Grandson of Pentium III, Nephew of NetBurst?". ixbtlabs.com. Note that all mentions of "Next-Generation Micro-architecture" in Intel's slides have asterisks that warn that "micro-architecture name TBD".
  2. ^ a b Hinton, Glenn (February 17, 2010). "Key Nehalem Choices" (PDF).
  3. ^ "Intel cancels Tejas, moves to dual-core designs". EE Times. May 7, 2004.
  4. ^ . ExtremeTech. Archived from the original on October 31, 2007. Retrieved October 30, 2006.
  5. ^ King, Ian (April 9, 2007). "How Israel saved Intel". The Seattle Times. Retrieved April 15, 2012.
  6. ^ "Driving energy-efficient performance, innovation with Intel Core microarchitecture" (PDF). Intel. March 7, 2006.
  7. ^ De Gelas, Johan. "The Bulldozer Aftermath: Delving Even Deeper". AnandTech.
  8. ^ Thomadakis, Michael Euaggelos. "The Architecture of the Nehalem Processor and Nehalem-EP SMP Platforms".
  9. ^ De Gelas, Johan. "Intel Core versus AMD's K8 architecture". AnandTech.
  10. ^ "Intel Xeon Processor 5110". Intel. Retrieved April 15, 2012.
  11. ^ "Intel Xeon Processor 5120". Intel. Retrieved April 15, 2012.
  12. ^ "Intel Core 2 Extreme QX9650 - Penryn Ticks Ahead".
  13. ^ "Intel Core 2 Duo Mobile Processors T7400 & L7400 and Intel Celeron M Processor 530 (Merom - Napa Refresh), PCN 108529-03, Product Design, B-2 to G-2 Stepping Conversion, Reason for Revision: Change G-0 to G-2 Stepping and Correct Post Conversion MM#" (PDF). Intel. March 30, 2009.
  14. ^ Intel® Celeron® Processor 440 ark.intel.com
  15. ^ Intel CPU Die-Size and Microarchitecture
  16. ^ (PDF). Archived from the original (PDF) on December 22, 2010. Retrieved June 17, 2012.
  17. ^ "ARK entry for Intel Xeon Processor X7460". Intel. Retrieved July 14, 2009.
  18. ^ piotke (August 1, 2006). "Intel Core 2: Is high speed memory worth its price?". Madshrimps. Retrieved August 1, 2006.
  19. ^ Jacob (May 19, 2007). "Benchmarks of four Prime95 processes on a quad-core". Mersenne Forum. Retrieved May 22, 2007.
  20. ^ "Dual-Core Intel Xeon Processor 7200 Series and Quad-Core Intel Xeon Processor 7300 Series" (PDF). p. 46. Retrieved January 23, 2010.
  21. ^ "Intel Core 2 Duo Processor for Intel Centrino Duo Processor Technology Specification Update" (PDF). pp. 18–21.
  22. ^ "'Intel Core 2' - MARC". marc.info.
  23. ^ "Matthew Dillon on Intel Core Bugs". OpenBSD journal. June 30, 2007. Retrieved April 15, 2012.
  24. ^ Torvalds, Linus (June 27, 2007). "Core 2 Errata -- problematic or overblown?". Real World Technologies. Retrieved April 15, 2012.
  25. ^ "A microcode reliability update is available that improves the reliability of systems that use Intel processors". Microsoft. October 8, 2011. Retrieved April 15, 2012.

External links Edit

  • Intel Core Microarchitecture website
  • Intel press release announcing plans for a new microarchitecture
  • Intel press release introducing the Core Microarchitecture
  • Intel processor roadmap
  • Intel names the Core Microarchitecture
  • RealWorld Tech's overview of the Core microarchitecture
  • Detailed overview of the Core microarchitecture at Ars Technica
  • Intel Core versus AMD's K8 architecture at Anandtech
  • Benchmarks Comparing the Computational Power of Core Architecture against Older Intel NetBurst and AMD Athlon64 Central Processing Units

intel, core, microarchitecture, intel, processors, branded, intel, core, intel, core, intel, core, microarchitecture, provisionally, referred, next, generation, micro, architecture, developed, merom, multi, core, processor, microarchitecture, launched, intel, . For Intel processors branded as Intel Core see Intel Core The Intel Core microarchitecture provisionally referred to as Next Generation Micro architecture 1 and developed as Merom 2 is a multi core processor microarchitecture launched by Intel in mid 2006 It is a major evolution over the Yonah the previous iteration of the P6 microarchitecture series which started in 1995 with Pentium Pro It also replaced the NetBurst microarchitecture which suffered from high power consumption and heat intensity due to an inefficient pipeline designed for high clock rate In early 2004 the new version of NetBurst Prescott needed very high power to reach the clocks it needed for competitive performance making it unsuitable for the shift to dual multi core CPUs On May 7 2004 Intel confirmed the cancellation of the next NetBurst Tejas and Jayhawk 3 Intel had been developing Merom the 64 bit evolution of the Pentium M since 2001 2 and decided to expand it to all market segments replacing NetBurst in desktop computers and servers It inherited from Pentium M the choice of a short and efficient pipeline delivering superior performance despite not reaching the high clocks of NetBurst a Intel CoreGeneral informationLaunchedJune 26 2006 17 years ago June 26 2006 Xeon July 27 2006 17 years ago July 27 2006 Core 2 PerformanceMax CPU clock rate933 MHz to 3 5 GHzFSB speeds533 MT s to 1600 MT sCacheL1 cache64 KB per coreL2 cache0 5 to 6 MB per two coresL3 cache8 MB to 16 MB shared Xeon 7400 Architecture and classificationTechnology node65 nm to 45 nmMicroarchitectureCoreInstruction setx86 64Instructionsx86 x86 64ExtensionsMMX SSE SSE2 SSE3 SSSE3 SSE4 45nm Core 2 only VT x some Physical specificationsTransistors105M to 582M 65 nm 228M to 1900M 45 nm Cores1 4 2 6 Xeon Socket s Socket M µPGA 478 Socket P µPGA 478 Socket T LGA 775 Socket J LGA 771 Socket 604FCBGA µBGA 479 FCBGA µBGA 965 Products models variantsModel s P6 family Celeron Pentium Pentium Dual Core Core 2 range Xeon HistoryPredecessor s NetBurstEnhanced Pentium M P6 Successor s Penryn tick a version of Core Nehalem tock Support statusUnsupportedThe first processors that used this architecture were code named Merom Conroe and Woodcrest Merom is for mobile computing Conroe is for desktop systems and Woodcrest is for servers and workstations While architecturally identical the three processor lines differ in the socket used bus speed and power consumption The first Core based desktop and mobile processors were branded Core 2 later expanding to the lower end Pentium Dual Core Pentium and Celeron brands while server and workstation Core based processors were branded Xeon Contents 1 Features 2 Roadmap 3 Technology 4 Processor cores 4 1 Conroe Merom 65 nm 4 2 Conroe L Merom L 4 3 Penryn Wolfdale 45 nm 4 4 Dunnington 5 Steppings 5 1 Steppings using 65 nm process 5 2 Steppings using 45 nm process 6 System requirements 6 1 Motherboard compatibility 6 2 Synchronous memory modules 7 Chip errata 8 See also 9 References 10 External linksFeatures EditThe Core microarchitecture returned to lower clock rates and improved the use of both available clock cycles and power when compared with the preceding NetBurst microarchitecture of the Pentium 4 and D branded CPUs 4 The Core microarchitecture provides more efficient decoding stages execution units caches and buses reducing the power consumption of Core 2 branded CPUs while increasing their processing capacity Intel s CPUs have varied widely in power consumption according to clock rate architecture and semiconductor process shown in the CPU power dissipation tables Like the last NetBurst CPUs Core based processors feature multiple cores and hardware virtualization support marketed as Intel VT x and Intel 64 and SSSE3 However Core based processors do not have the hyper threading technology as in Pentium 4 processors This is because the Core microarchitecture is based on the P6 microarchitecture used by Pentium Pro II III and M The L1 cache of the Core microarchitecture at 64 KB L1 cache core 32 KB L1 Data 32 KB L1 Instruction is as large as in Pentium M up from 32 KB on Pentium II III 16 KB L1 Data 16 KB L1 Instruction The consumer version also lacks an L3 cache as in the Gallatin core of the Pentium 4 Extreme Edition though it is exclusively present in high end versions of Core based Xeons Both an L3 cache and hyper threading were reintroduced again to consumer line in the Nehalem microarchitecture Roadmap EditMain article Intel Tick TockTechnology Edit nbsp Intel Core microarchitectureWhile the Core microarchitecture is a major architectural revision it is based in part on the Pentium M processor family designed by Intel Israel 5 The pipeline of Core Penryn is 14 stages long 6 less than half of Prescott s Penryn s successor Nehalem has a two cycles higher branch misprediction penalty than Core Penryn 7 8 Core can ideally sustain up to 4 instructions per cycle IPC execution rate compared to the 3 IPC capability of P6 Pentium M and NetBurst microarchitectures The new architecture is a dual core design with a shared L2 cache engineered for maximum performance per watt and improved scalability One new technology included in the design is Macro Ops Fusion which combines two x86 instructions into a single micro operation For example a common code sequence like a compare followed by a conditional jump would become a single micro op However this technology does not work in 64 bit mode Core can speculatively execute loads ahead of preceding stores with unknown addresses 9 Other new technologies include 1 cycle throughput 2 cycles previously of all 128 bit SSE instructions and a new power saving design All components will run at minimum speed raising speed dynamically as needed similar to AMD s Cool n Quiet power saving technology and Intel s own SpeedStep technology from earlier mobile processors This allows the chip to produce less heat and minimize power use For most Woodcrest CPUs the front side bus FSB runs at 1333 MT s however this is scaled down to 1066 MT s for lower end 1 60 and 1 86 GHz variants 10 11 The Merom mobile variant was initially targeted to run at an FSB of 667 MT s while the second wave of Meroms supporting 800 MT s FSB were released as part of the Santa Rosa platform with a different socket in May 2007 The desktop oriented Conroe began with models having an FSB of 800 MT s or 1066 MT s with a 1333 MT s line officially launched on July 22 2007 The power use of these processors is very low average energy use is to be in the 1 2 watt range in ultra low voltage variants with thermal design powers TDPs of 65 watts for Conroe and most Woodcrests 80 watts for the 3 0 GHz Woodcrest and 40 or 35 watts for the low voltage Woodcrest In comparison a 2 2 GHz AMD Opteron 875HE processor consumes 55 watts while the energy efficient Socket AM2 line fits in the 35 watt thermal envelope specified a different way so not directly comparable Merom the mobile variant is listed at 35 watts TDP for standard versions and 5 watts TDP for ultra low voltage ULV versions citation needed Previously Intel announced that it would now focus on power efficiency rather than raw performance However at Intel Developer Forum IDF in spring 2006 Intel advertised both Some of the promised numbers were 20 more performance for Merom at the same power level compared to Core Duo 40 more performance for Conroe at 40 less power compared to Pentium D 80 more performance for Woodcrest at 35 less power compared to the original dual core XeonProcessor cores EditThe processors of the Core microarchitecture can be categorized by number of cores cache size and socket each combination of these has a unique code name and product code that is used across several brands For instance code name Allendale with product code 80557 has two cores 2 MB L2 cache and uses the desktop socket 775 but has been marketed as Celeron Pentium Core 2 and Xeon each with different sets of features enabled Most of the mobile and desktop processors come in two variants that differ in the size of the L2 cache but the specific amount of L2 cache in a product can also be reduced by disabling parts at production time Tigerton dual cores and all quad core processors except are multi chip modules combining two dies For the 65 nm processors the same product code can be shared by processors with different dies but the specific information about which one is used can be derived from the stepping fab cores Mobile Desktop UP Server CL Server DP Server MP ServerSingle Core 65 nm 65 nm 1 Merom L80537 Conroe L80557Single Core 45 nm 45 nm 1 Penryn L80585 Wolfdale CL80588Dual Core 65 nm 65 nm 2 Merom 2M80537 Merom80537 Allendale80557 Conroe80557 Conroe CL80556 Woodcrest80556 Tigerton DC80564Dual Core 45 nm 45 nm 2 Penryn 3M80577 Penryn80576 Wolfdale 3M80571 Wolfdale80570 Wolfdale CL80588 Wolfdale DP80573Quad Core 65 nm 65 nm 4 Kentsfield80562 Clovertown80563 Tigerton80565Quad Core 45 nm 45 nm 4 Penryn QC80581 Yorkfield 6M80580 Yorkfield80569 Yorkfield CL80584 Harpertown80574 Dunnington QC80583Six Core 45 nm 45 nm 6 Dunnington80582Conroe Merom 65 nm Edit Main article Conroe microprocessor The original Core 2 processors are based on the same dies that can be identified as CPUID Family 6 Model 15 Depending on their configuration and packaging their code names are Conroe LGA 775 4 MB L2 cache Allendale LGA 775 2 MB L2 cache Merom Socket M 4 MB L2 cache and Kentsfield multi chip module LGA 775 2x4MB L2 cache Merom and Allendale processors with limited features are in Pentium Dual Core and Celeron processors while Conroe Allendale and Kentsfield also are sold as Xeon processors Additional code names for processors based on this model are Woodcrest LGA 771 4 MB L2 cache Clovertown MCM LGA 771 2 4MB L2 cache and Tigerton MCM Socket 604 2 4MB L2 cache all of which are marketed only under the Xeon brand Processor Brand name Model list Cores L2 Cache Socket TDPMerom 2M Mobile Core 2 Duo U7xxx 2 2 MB BGA479 10 WMerom L7xxx 4 MB 17 WMeromMerom 2M T5xxxT7xxx 2 4 MB Socket MSocket PBGA479 35 WMerom Mobile Core 2 Extreme X7xxx 2 4 MB Socket P 44 WMerom Celeron M 5x0 1 1 MB Socket MSocket P 30 WMerom 2M 5x5 Socket P 31 WMerom 2M Celeron Dual Core T1xxx 2 512 1024 KB Socket P 35 WMerom 2M Pentium Dual Core T2xxxT3xxx 2 1 MB Socket P 35 WAllendale Xeon 3xxx 2 2 MB LGA 775 65 WConroe 3xxx 2 4 MBConroe andAllendale Core 2 Duo E4xxx 2 2 MB LGA 775 65 WE6xx0 2 4 MBConroe CL E6xx5 2 4 MB LGA 771Conroe XE Core 2 Extreme X6xxx 2 4 MB LGA 775 75 WAllendale Pentium Dual Core E2xxx 2 1 MB LGA 775 65 WAllendale Celeron E1xxx 2 512 KB LGA 775 65 WKentsfield Xeon 32xx 4 2 4 MB LGA 775 95 105 WKentsfield Core 2 Quad Q6xxx 4 2 4 MB LGA 775 95 105 WKentsfield XE Core 2 Extreme QX6xxx 4 2 4 MB LGA 775 130 WWoodcrest Xeon 51xx 2 4 MB LGA 771 65 80 WClovertown L53xx 4 2 4 MB LGA 771 40 50 WE53xx 80 WX53xx 120 150 WTigerton DC E72xx 2 2 4 MB Socket 604 80 WTigerton L73xx 4 50 WE73xx 2 2 2 4 MB 80 WX73xx 2 4 MB 130 WConroe L Merom L Edit The Conroe L and Merom L processors are based around the same core as Conroe and Merom but only contain a single core and 1 MB of L2 cache significantly reducing production cost and power consumption of the processor at the expense of performance compared to the dual core version It is used only in ultra low voltage Core 2 Solo U2xxx and in Celeron processors and is identified as CPUID family 6 model 22 Processor Brand name Model list Cores L2 Cache Socket TDPMerom L Mobile Core 2 Solo U2xxx 1 2 MB BGA479 5 5 WMerom L Celeron M 5x0 1 512 KB Socket MSocket P 27 WMerom L 5x3 512 1024 KB BGA479 5 5 10 WConroe L Celeron M 4x0 1 512 KB LGA 775 35 WConroe CL 4x5 LGA 771 65 WPenryn Wolfdale 45 nm Edit Main article Penryn microarchitecture nbsp Wolfdale type Core 2 Duo E8400 top view nbsp Wolfdale type Core 2 Duo E8400 perspective viewIn Intel s Tick Tock cycle the 2007 2008 Tick was the shrink of the Core microarchitecture to 45 nanometers as CPUID model 23 In Core 2 processors it is used with the code names Penryn Socket P Wolfdale LGA 775 and Yorkfield MCM LGA 775 some of which are also sold as Celeron Pentium and Xeon processors In the Xeon brand the Wolfdale DP and Harpertown code names are used for LGA 771 based MCMs with two or four active Wolfdale cores Architecturally 45 nm Core 2 processors feature SSE4 1 and new divide shuffle engine 12 The chips come in two sizes with 6 MB and 3 MB L2 cache The smaller version is commonly called Penryn 3M and Wolfdale 3M and Yorkfield 6M respectively The single core version of Penryn listed as Penryn L here is not a separate model like Merom L but a version of the Penryn 3M model with only one active core Processor Brand name Model list Cores L2 Cache Socket TDPPenryn L Core 2 Solo SU3xxx 1 3 MB BGA956 5 5 WPenryn 3M Core 2 Duo SU7xxx 2 3 MB BGA956 10 WSU9xxxPenryn SL9xxx 6 MB 17 WSP9xxx 25 28 WPenryn 3M P7xxx 3 MB Socket PFCBGA6 25 WP8xxxPenryn P9xxx 6 MBPenryn 3M T6xxx 2 MB 35 WT8xxx 3 MBPenryn T9xxx 6 MBE8x35 6 MB Socket P 35 55 WPenryn QC Core 2 Quad Q9xxx 4 2x3 2x6 MB Socket P 45 WPenryn XE Core 2 Extreme X9xxx 2 6 MB Socket P 44 WPenryn QC QX9xxx 4 2x6 MB 45 WPenryn 3M Celeron T3xxx 2 1 MB Socket P 35 WSU2xxx µFC BGA 956 10 WPenryn L 9x0 1 1 MB Socket P 35 W7x3 µFC BGA 956 10 WPenryn 3M Pentium T4xxx 2 1 MB Socket P 35 WSU4xxx 2 MB µFC BGA 956 10 WPenryn L SU2xxx 1 5 5 WWolfdale 3MCeleron E3xxx 2 1 MB LGA 775 65 WPentium E2210E5xxx 2 MBE6xxxCore 2 Duo E7xxx 3 MBWolfdale E8xxx 6 MBXeon 31x0 45 65 WWolfdale CL 30x4 1 LGA 771 30 W31x3 2 65 WYorkfield Xeon X33x0 4 2 3 2 6 MB LGA 775 65 95 WYorkfield CL X33x3 LGA 771 80 WYorkfield 6M Core 2 Quad Q8xxx 2 2 MB LGA 775 65 95 WQ9x0x 2 3 MBYorkfield Q9x5x 2 6 MBYorkfield XE Core 2 Extreme QX9xxx 2 6 MB 130 136 WQX9xx5 LGA 771 150 WWolfdale DP Xeon E52xx 2 6 MB LGA 771 65 WL52xx 20 55 WX52xx 80 WHarpertown E54xx 4 2 6 MB LGA 771 80 WL54xx 40 50 WX54xx 120 150 WDunnington Edit The Xeon Dunnington processor CPUID Family 6 model 29 is closely related to Wolfdale but comes with six cores and an on chip L3 cache and is designed for servers with Socket 604 so it is marketed only as Xeon not as Core 2 Processor Brand name Model list Cores L3 cache Socket TDPDunnington Xeon E74xx 4 6 8 16 MB Socket 604 90 WL74xx 4 6 12 MB 50 65 WX7460 6 16 MB 130 WSteppings EditThe Core microarchitecture uses several stepping levels steppings which unlike prior microarchitectures represent incremental improvements and different sets of features like cache size and low power modes Most of these steppings are used across brands typically by disabling some features and limiting clock frequencies on low end chips Steppings with a reduced cache size use a separate naming scheme which means that the releases are no longer in alphabetic order Added steppings have been used in internal and engineering samples but are unlisted in the tables Many of the high end Core 2 and Xeon processors use Multi chip modules of two chips in order to get larger cache sizes or more than two cores Steppings using 65 nm process Edit Mobile Merom Desktop Conroe Desktop Kentsfield Server Woodcrest Clovertown Tigerton Stepping Released Area CPUID L2 cache Max clock Celeron Pentium Core 2 Celeron Pentium Core 2 Xeon Core 2 Xeon XeonB2 Jul 2006 143 mm 06F6 4 MB 2 93 GHz M5xx T5000 T7000 L7000 E6000 X6000 3000 5100B3 Nov 2006 143 mm 06F7 4 MB 3 00 GHz Q6000 QX6000 3200 5300L2 Jan 2007 111 mm 06F2 2 MB 2 13 GHz T5000 U7000 E2000 E4000 E6000 3000E1 May 2007 143 mm 06FA 4 MB 2 80 GHz M5xx T7000 L7000 X7000G0 Apr 2007 143 mm 06FB 4 MB 3 00 GHz M5xx T7000 L7000 X7000 E2000 E4000 E6000 3000 Q6000 QX6000 3200 5100 5300 7200 7300G2 Mar 2009 13 143 mm 06FB 4 MB 2 16 GHz M5xx T5000 T7000 L7000M0 Jul 2007 111 mm 06FD 2 MB 2 40 GHz 5xx T1000 T2000 T3000 T5000 T7000 U7000 E1000 E2000 E4000A1 Jun 2007 81 mm b 10661 1 MB 2 20 GHz M5xx U2000 220 4x0Early ES QS steppings are B0 CPUID 6F4h B1 6F5h and E0 6F9h Steppings B2 B3 E1 and G0 of model 15 cpuid 06fx processors are evolutionary steps of the standard Merom Conroe die with 4 MB L2 cache with the short lived E1 stepping only being used in mobile processors Stepping L2 and M0 are the Allendale chips with just 2 MB L2 cache reducing production cost and power consumption for low end processors The G0 and M0 steppings improve idle power consumption in C1E state and add the C2E state in desktop processors In mobile processors all of which support C1 through C4 idle states steppings E1 G0 and M0 add support for the Mobile Intel 965 Express Santa Rosa platform with Socket P while the earlier B2 and L2 steppings only appear for the Socket M based Mobile Intel 945 Express Napa refresh platform The model 22 stepping A1 cpuid 10661h marks a significant design change with just a single core and 1 MB L2 cache further reducing the power consumption and manufacturing cost for the low end Like the earlier steppings A1 is not used with the Mobile Intel 965 Express platform Steppings G0 M0 and A1 mostly replaced all older steppings in 2008 In 2009 a new stepping G2 was introduced to replace the original stepping B2 16 Steppings using 45 nm process Edit Mobile Penryn Desktop Wolfdale Desktop Yorkfield Server Wolfdale DP Harpertown Dunnington Stepping Released Area CPUID L2 cache Max clock Celeron Pentium Core 2 Celeron Pentium Core 2 Xeon Core 2 Xeon XeonC0 Nov 2007 107 mm 10676 6 MB 3 00 GHz E8000 P7000 T8000 T9000 P9000 SP9000 SL9000 X9000 E8000 3100 QX9000 5200 5400M0 Mar 2008 82 mm 10676 3 MB 2 40 GHz 7xx SU3000 P7000 P8000 T8000 SU9000 E5000 E2000 E7000C1 Mar 2008 107 mm 10677 6 MB 3 20 GHz Q9000 QX9000 3300M1 Mar 2008 82 mm 10677 3 MB 2 50 GHz Q8000 Q9000 3300E0 Aug 2008 107 mm 1067A 6 MB 3 33 GHz T9000 P9000 SP9000 SL9000 Q9000 QX9000 E8000 3100 Q9000 Q9000S QX9000 3300 5200 5400R0 Aug 2008 82 mm 1067A 3 MB 2 93 GHz 7xx 900 SU2000 T3000 T4000 SU2000 SU4000 SU3000 T6000 SU7000 P8000 SU9000 E3000 E5000 E6000 E7000 Q8000 Q8000S Q9000 Q9000S 3300A1 Sep 2008 503 mm 106D1 3 MB 2 67 GHz 7400In the model 23 cpuid 01067xh Intel started marketing stepping with full 6 MB and reduced 3 MB L2 cache at the same time and giving them identical cpuid values All steppings have the new SSE4 1 instructions Stepping C1 M1 was a bug fix version of C0 M0 specifically for quad core processors and only used in those Stepping E0 R0 adds two new instructions XSAVE XRSTOR and replaces all earlier steppings In mobile processors stepping C0 M0 is only used in the Intel Mobile 965 Express Santa Rosa refresh platform whereas stepping E0 R0 supports the later Intel Mobile 4 Express Montevina platform Model 30 stepping A1 cpuid 106d1h adds an L3 cache and six instead of the usual two cores which leads to an unusually large die size of 503 mm 17 As of February 2008 it has only found its way into the very high end Xeon 7400 series Dunnington System requirements EditMotherboard compatibility Edit Conroe Conroe XE and Allendale all use Socket LGA 775 however not every motherboard is compatible with these processors Supporting chipsets are Intel 865G PE P 945G GZ GC P PL 965G P 975X P G Q965 Q963 946GZ PL P3x G3x Q3x X38 X48 P4x 5400 Express See also List of Intel chipsets NVIDIA nForce4 Ultra SLI X16 for Intel nForce 570 590 SLI for Intel nForce 650i Ultra 650i SLI 680i LT SLI 680i SLI and nForce 750i SLI 780i SLI 790i SLI 790i Ultra SLI VIA P4M800 P4M800PRO P4M890 P4M900 PT880 Pro Ultra PT890 See also List of VIA chipsets SiS 662 671 671fx 672 672fx ATI Radeon Xpress 200 and CrossFire Xpress 3200 for IntelThe Yorkfield XE model QX9770 45 nm with 1600 MT s FSB has limited chipset compatibility with only X38 P35 With Overclocking and some high performance X48 and P45 motherboards being compatible BIOS updates were gradually being released to provide support for the Penryn technology and the QX9775 is only compatible with the Intel D5400XS motherboard The Wolfdale 3M model E7200 also has limited compatibility at least the Xpress 200 chipset is incompatible citation needed Although a motherboard may have the required chipset to support Conroe some motherboards based on the above mentioned chipsets do not support Conroe This is because all Conroe based processors require a new power delivery feature set specified in Voltage Regulator Down VRD 11 0 This requirement is a result of Conroe s significantly lower power consumption compared to the Pentium 4 D CPUs it replaced A motherboard that has both a supporting chipset and VRD 11 supports Conroe processors but even then some boards will need an updated BIOS to recognize Conroe s FID Frequency ID and VID Voltage ID Synchronous memory modules Edit Unlike the prior Pentium 4 and Pentium D design the Core 2 technology sees a greater benefit from memory running synchronously with the front side bus FSB This means that for the Conroe CPUs with FSB of 1066 MT s the ideal memory performance for DDR2 is PC2 8500 In a few configurations using PC2 5300 instead of PC2 4200 can actually decrease performance Only when going to PC2 6400 is there a significant performance increase While DDR2 memory models with tighter timing specifications do improve performance the difference in real world games and applications is often negligible 18 Optimally the memory bandwidth afforded should match the bandwidth of the FSB that is to say that a CPU with a 533 MT s rated bus speed should be paired with RAM matching the same rated speed for example DDR2 533 or PC2 4200 A common myth citation needed is that installing interleaved RAM will offer double the bandwidth However at most the increase in bandwidth by installing interleaved RAM is roughly 5 10 The AGTL PSB used by all NetBurst processors and current and medium term pre QuickPath Core 2 processors provide a 64 bit data path Current chipsets provide for a couple of either DDR2 or DDR3 channels Matched processor and RAM ratings Processor model Front side bus Matched memory and maximum bandwidthsingle channel dual channelDDR DDR2 DDR3Mobile T5200 T5300 U2n00 U7n00 533 MT s PC 3200 DDR 400 3 2 GB s PC2 4200 DDR2 533 4 264 GB sPC2 8500 DDR2 1066 8 532 GB s PC3 8500 DDR3 1066 8 530 GB sDesktop E6n00 E6n20 X6n00 E7n00 Q6n00 and QX6n00Mobile T9400 T9550 T9600 P7350 P7450 P8400 P8600 P8700 P9500 P9600 SP9300 SP9400 X9100 1066 MT sMobile T5n00 T5n50 T7n00 Socket M L7200 L7400 667 MT s PC 3200 DDR 400 3 2 GB s PC2 5300 DDR2 667 5 336 GB s PC3 10600 DDR3 1333 10 670 GB sDesktop E6n40 E6n50 E8nn0 Q9nn0 QX6n50 QX9650 1333 MT sMobile T5n70 T6400 T7n00 Socket P L7300 L7500 X7n00 T8n00 T9300 T9500 X9000Desktop E4n00 Pentium E2nn0 Pentium E5nn0 Celeron 4n0 E3n00 800 MT s PC 3200 DDR 400 3 2 GB sPC 3200 DDR 400 3 2 GB s PC2 6400 DDR2 800 6 400 GB sPC2 8500 DDR2 1066 8 532 GB s PC3 6400 DDR3 800 6 400 GB sPC3 12800 DDR3 1600 12 800 GB sDesktop QX9770 QX9775 1600 MT sOn jobs requiring large amounts of memory access the quad core Core 2 processors can benefit significantly 19 from using PC2 8500 memory which runs at the same speed as the CPU s FSB this is not an officially supported configuration but several motherboards support it The Core 2 processor does not require the use of DDR2 While the Intel 975X and P965 chipsets require this memory some motherboards and chipsets support both Core 2 processors and DDR memory When using DDR memory performance may be reduced because of the lower available memory bandwidth Chip errata EditThe Core 2 memory management unit MMU in X6800 E6000 and E4000 processors does not operate to prior specifications implemented in prior generations of x86 hardware This may cause problems many of them serious security and stability issues with extant operating system software Intel s documentation states that their programming manuals will be updated in the coming months with information on recommended methods of managing the translation lookaside buffer TLB for Core 2 to avoid issues and admits that in rare instances improper TLB invalidation may result in unpredictable system behavior such as hangs or incorrect data 20 Among the issues stated Non execute bit is shared across the cores Floating point instruction non coherencies Allowed memory corruptions outside of the range of permitted writing for a process by running common instruction sequences Intel errata Ax39 Ax43 Ax65 Ax79 Ax90 Ax99 are said to be particularly serious 21 39 43 79 which can cause unpredictable behavior or system hang have been fixed in recent steppings Among those who have stated the errata to be particularly serious are OpenBSD s Theo de Raadt 22 and DragonFly BSD s Matthew Dillon 23 Taking a contrasting view was Linus Torvalds calling the TLB issue totally insignificant adding The biggest problem is that Intel should just have documented the TLB behavior better 24 Microsoft has issued update KB936357 to address the errata by microcode update 25 with no performance penalty BIOS updates are also available to fix the issue See also Editx86 List of Intel CPU microarchitecturesReferences Edit NetBurst had reached 3 8 GHz in 2004 Core initially reached 3 GHz and after moving to 45nm in Penryn would reach 3 5 GHz Westmere the ultimate evolution of P6 reached 3 6 GHz base and 3 86 GHz boost frequency Excluding the 4 4 GHz special order Xeons 77 mm according to Intel 14 80 mm according to Hiroshige Goto 15 Bessonov Oleg September 9 2005 New Wine into Old Skins Conroe Grandson of Pentium III Nephew of NetBurst ixbtlabs com Note that all mentions of Next Generation Micro architecture in Intel s slides have asterisks that warn that micro architecture name TBD a b Hinton Glenn February 17 2010 Key Nehalem Choices PDF Intel cancels Tejas moves to dual core designs EE Times May 7 2004 Penryn Arrives Core 2 Extreme QX9650 Review ExtremeTech Archived from the original on October 31 2007 Retrieved October 30 2006 King Ian April 9 2007 How Israel saved Intel The Seattle Times Retrieved April 15 2012 Driving energy efficient performance innovation with Intel Core microarchitecture PDF Intel March 7 2006 De Gelas Johan The Bulldozer Aftermath Delving Even Deeper AnandTech Thomadakis Michael Euaggelos The Architecture of the Nehalem Processor and Nehalem EP SMP Platforms De Gelas Johan Intel Core versus AMD s K8 architecture AnandTech Intel Xeon Processor 5110 Intel Retrieved April 15 2012 Intel Xeon Processor 5120 Intel Retrieved April 15 2012 Intel Core 2 Extreme QX9650 Penryn Ticks Ahead Intel Core 2 Duo Mobile Processors T7400 amp L7400 and Intel Celeron M Processor 530 Merom Napa Refresh PCN 108529 03 Product Design B 2 to G 2 Stepping Conversion Reason for Revision Change G 0 to G 2 Stepping and Correct Post Conversion MM PDF Intel March 30 2009 Intel Celeron Processor 440 ark intel com Intel CPU Die Size and Microarchitecture Product Change Notice PDF Archived from the original PDF on December 22 2010 Retrieved June 17 2012 ARK entry for Intel Xeon Processor X7460 Intel Retrieved July 14 2009 piotke August 1 2006 Intel Core 2 Is high speed memory worth its price Madshrimps Retrieved August 1 2006 Jacob May 19 2007 Benchmarks of four Prime95 processes on a quad core Mersenne Forum Retrieved May 22 2007 Dual Core Intel Xeon Processor 7200 Series and Quad Core Intel Xeon Processor 7300 Series PDF p 46 Retrieved January 23 2010 Intel Core 2 Duo Processor for Intel Centrino Duo Processor Technology Specification Update PDF pp 18 21 Intel Core 2 MARC marc info Matthew Dillon on Intel Core Bugs OpenBSD journal June 30 2007 Retrieved April 15 2012 Torvalds Linus June 27 2007 Core 2 Errata problematic or overblown Real World Technologies Retrieved April 15 2012 A microcode reliability update is available that improves the reliability of systems that use Intel processors Microsoft October 8 2011 Retrieved April 15 2012 External links EditIntel Core Microarchitecture website Intel press release announcing plans for a new microarchitecture Intel press release introducing the Core Microarchitecture Intel processor roadmap A Detailed Look at Intel s New Core Architecture Intel names the Core Microarchitecture Pictures of processors using the Core Microarchitecture among others also first mention of Clovertown MP IDF keynotes advertising the performance of the new processors The Core of Intel s new chips RealWorld Tech s overview of the Core microarchitecture Detailed overview of the Core microarchitecture at Ars Technica Intel Core versus AMD s K8 architecture at Anandtech Release dates of upcoming Intel Core processors using the Intel Core Microarchitecture Benchmarks Comparing the Computational Power of Core Architecture against Older Intel NetBurst and AMD Athlon64 Central Processing Units Retrieved from https en wikipedia org w index php title Intel Core microarchitecture amp oldid 1173917361, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.