fbpx
Wikipedia

Photolithography

In integrated circuit manufacturing, photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protect selected areas of it during subsequent etching, deposition, or implantation operations. Typically, ultraviolet light is used to transfer a geometric design from an optical mask to a light-sensitive chemical (photoresist) coated on the substrate. The photoresist either breaks down or hardens where it is exposed to light. The patterned film is then created by removing the softer parts of the coating with appropriate solvents.

Conventional photoresists typically consists of three components: resin, sensitizer, and solvent.

Photolithography processes can be classified according to the type of light used, such as ultraviolet, deep ultraviolet, extreme ultraviolet, or X-ray. The wavelength of light used determines the minimum feature size that can be formed in the photoresist.

Photolithography is a subclass of microlithography, the general term for processes that generate patterned thin films. Other technologies in this broader class include the use of steerable electron beams, or more rarely, nanoimprinting, interference, magnetic fields, or scanning probes. On a broader level, it may compete with directed self-assembly of micro- and nanostructures.[1]

Photolithography shares some fundamental principles with photography in that the pattern in the photoresist is created by exposing it to light — either directly by projection through a lens, or by illuminating a mask placed directly over the substrate, as in contact printing. The technique can also be seen as a high precision version of the method used to make printed circuit boards. The name originated from a loose analogy with the traditional photographic method of producing plates for lithographic printing on paper;[2] however, subsequent stages in the process have more in common with etching than with traditional lithography.

Photolithography is the most common method for semiconductor fabrication of integrated circuits ("ICs" or "chips"), such as solid-state memories and microprocessors. It can create extremely small patterns, down to a few tens of nanometers in size. It provides precise control of the shape and size of the objects it creates and can create patterns over an entire wafer in a single step, quickly and with relatively low cost. In complex integrated circuits, a wafer may go through the photolithographic cycle as many as 50 times. It is also an important technique for the fabrication of microscopic structures in general, such as microelectromechanical systems. However, photolithography cannot be used to produce masks on surfaces that are not perfectly flat; and, like all chip manufacturing processes, it requires extremely clean operating conditions.

History

The root words photo, litho, and graphy all have Greek origins, with the meanings 'light', 'stone' and 'writing' respectively. As suggested by the name compounded from them, photolithography is a printing method (originally based on the use of limestone printing plates) in which light plays an essential role. In the 1820s, Nicephore Niepce invented a photographic process that used Bitumen of Judea, a natural asphalt, as the first photoresist. A thin coating of the bitumen on a sheet of metal, glass or stone became less soluble where it was exposed to light; the unexposed parts could then be rinsed away with a suitable solvent, baring the material beneath, which was then chemically etched in an acid bath to produce a printing plate. The light-sensitivity of bitumen was very poor and very long exposures were required, but despite the later introduction of more sensitive alternatives, its low cost and superb resistance to strong acids prolonged its commercial life into the early 20th century. In 1940, Oskar Süß created a positive photoresist by using diazonaphthoquinone, which worked in the opposite manner: the coating was initially insoluble and was rendered soluble where it was exposed to light.[3] In 1954, Louis Plambeck Jr. developed the Dycryl polymeric letterpress plate, which made the platemaking process faster.[4]

In 1952, the U.S. military assigned Jay W. Lathrop and James R. Nall at the National Bureau of Standards (later the U.S. Army Diamond Ordnance Fuze Laboratory, which eventually merged to form the now-present Army Research Laboratory) with the task of finding a way to reduce the size of electronic circuits in order to better fit the necessary circuitry in the limited space available inside a proximity fuze.[5] Inspired by the application of photoresist, a photosensitive liquid used to mark the boundaries of rivet holes in metal aircraft wings, Nall determined that a similar process can be used to protect the germanium in the transistors and even pattern the surface with light.[6] During development, Lathrop and Nall were successful in creating a 2D miniaturized hybrid integrated circuit with transistors using this technique.[5] In 1958, during the IRE Professional Group on Electron Devices (PGED) conference in Washington, D.C., they presented the first paper to describe the fabrication of transistors using photographic techniques and adopted the term "photolithography" to describe the process, marking the first published use of the term to describe semiconductor device patterning.[6][2]

Despite the fact that photolithography of electronic components concerns etching metal duplicates, rather than etching stone to produce a "master" as in conventional lithographic printing, Lathrop and Nall chose the term "photolithography" over "photoetching" because the former sounded "high tech."[5] A year after the conference, Lathrop and Nall's patent on photolithography was formally approved on June 9, 1959.[7] Photolithography would later contribute to the development of the first semiconductor ICs as well as the first microchips.[5]

Basic procedure

 
Simplified illustration of dry etching using positive photoresist during a photolithography process in semiconductor microfabrication (not to scale).

A single iteration of photolithography combines several steps in sequence. Modern cleanrooms use automated, robotic wafer track systems to coordinate the process. The procedure described here omits some advanced treatments, such as thinning agents or edge-bead removal.[8] The photolithography process is carried out by the wafer track and stepper/scanner, and the wafer track system and the stepper/scanner are installed side by side. Wafer track systems have been replaced by wafer coater/developer systems, which perform the same functions.

Cleaning

If organic or inorganic contaminations are present on the wafer surface, they are usually removed by wet chemical treatment, e.g. the RCA clean procedure based on solutions containing hydrogen peroxide. Other solutions made with trichloroethylene, acetone or methanol can also be used to clean.[9]

Preparation

The wafer is initially heated to a temperature sufficient to drive off any moisture that may be present on the wafer surface; 150 °C for ten minutes is sufficient. Wafers that have been in storage must be chemically cleaned to remove contamination. A liquid or gaseous "adhesion promoter", such as Bis(trimethylsilyl)amine ("hexamethyldisilazane", HMDS), is applied to promote adhesion of the photoresist to the wafer. The surface layer of silicon dioxide on the wafer reacts with HMDS to form tri-methylated silicon-dioxide, a highly water repellent layer not unlike the layer of wax on a car's paint. This water repellent layer prevents the aqueous developer from penetrating between the photoresist layer and the wafer's surface, thus preventing so-called lifting of small photoresist structures in the (developing) pattern. In order to ensure the development of the image, it is best covered and placed over a hot plate and let it dry while stabilizing the temperature at 120 °C.[10]

Photoresist application

The wafer is covered with photoresist by spin coating. Thus, the top layer of resist is quickly ejected from the wafer's edge while the bottom layer still creeps slowly radially along the wafer. In this way, any 'bump' or 'ridge' of resist is removed, leaving a very flat layer. However, viscous films may result in large edge beads whose planarization has physical limits.[11] Final thickness is also determined by the evaporation of liquid solvents from the resist. For very small, dense features (< 125 or so nm), lower resist thicknesses (< 0.5 microns) are needed to overcome collapse effects at high aspect ratios; typical aspect ratios are < 4:1.

The photoresist-coated wafer is then prebaked to drive off excess photoresist solvent, typically at 90 to 100 °C for 30 to 60 seconds on a hotplate. A BARC coating (Bottom Anti-Reflectant Coating) may be applied before the photoresist is applied, to avoid reflections from occurring under the photoresist and to improve the photoresist's performance at smaller semiconductor nodes.[12][13][14]

Exposure and developing

After prebaking, the photoresist is exposed to a pattern of intense light. The exposure to light causes a chemical change that allows some of the photoresist to be removed by a special solution, called "developer" by analogy with photographic developer. Positive photoresist, the most common type, becomes soluble in the developer when exposed; with negative photoresist, unexposed regions are soluble in the developer.

A post-exposure bake (PEB) is performed before developing, typically to help reduce standing wave phenomena caused by the destructive and constructive interference patterns of the incident light. In deep ultraviolet lithography, chemically amplified resist (CAR) chemistry is used. This process is much more sensitive to PEB time, temperature, and delay, as most of the "exposure" reaction (creating acid, making the polymer soluble in the basic developer) actually occurs in the PEB.[15]

The develop chemistry is delivered on a spinner, much like photoresist. Developers originally often contained sodium hydroxide (NaOH). However, sodium is considered an extremely undesirable contaminant in MOSFET fabrication because it degrades the insulating properties of gate oxides (specifically, sodium ions can migrate in and out of the gate, changing the threshold voltage of the transistor and making it harder or easier to turn the transistor on over time). Metal-ion-free developers such as tetramethylammonium hydroxide (TMAH) are now used.

The resulting wafer is then "hard-baked" if a non-chemically amplified resist was used, typically at 120 to 180 °C[16] for 20 to 30 minutes. The hard bake solidifies the remaining photoresist, to make a more durable protecting layer in future ion implantation, wet chemical etching, or plasma etching.

From preparation until this step, the photolithography procedure has been carried out by two machines: the photolithography stepper or scanner, and the coater/developer. The two machines are usually installed side by side.

Etching, implantation

In etching, a liquid ("wet") or plasma ("dry") chemical agent removes the uppermost layer of the substrate in the areas that are not protected by photoresist. In semiconductor fabrication, dry etching techniques are generally used, as they can be made anisotropic, in order to avoid significant undercutting of the photoresist pattern. This is essential when the width of the features to be defined is similar to or less than the thickness of the material being etched (i.e. when the aspect ratio approaches unity). Wet etch processes are generally isotropic in nature, which is often indispensable for microelectromechanical systems, where suspended structures must be "released" from the underlying layer.

The development of low-defectivity anisotropic dry-etch process has enabled the ever-smaller features defined photolithographically in the resist to be transferred to the substrate material.

Photoresist removal

After a photoresist is no longer needed, it must be removed from the substrate. This usually requires a liquid "resist stripper", which chemically alters the resist so that it no longer adheres to the substrate. Alternatively, the photoresist may be removed by a plasma containing oxygen, which oxidizes it. This process is called ashing and resembles dry etching. The use of 1-Methyl-2-pyrrolidone (NMP) solvent for photoresist is another method used to remove an image. When the resist has been dissolved, the solvent can be removed by heating to 80 °C without leaving any residue.[17]

Exposure ("printing") systems

 
The wafer track portion of an aligner that uses 365 nm ultraviolet light

Exposure systems typically produce an image on the wafer using a photomask. The photomask blocks light in some areas and lets it pass in others. (Maskless lithography projects a precise beam directly onto the wafer without using a mask, but it is not widely used in commercial processes.) Exposure systems may be classified by the optics that transfer the image from the mask to the wafer.

Photolithography produces better thin film transistor structures than printed electronics, due to smoother printed layers, less wavy patterns, and more accurate drain-source electrode registration.[18]

Contact and proximity

A contact printer, the simplest exposure system, puts a photomask in direct contact with the wafer and exposes it to a uniform light. A proximity printer puts a small gap between the photomask and wafer. In both cases, the mask covers the entire wafer, and simultaneously patterns every die.

Contact printing is liable to damage both the mask and the wafer, and this was the primary reason it was abandoned for high volume production. Both contact and proximity lithography require the light intensity to be uniform across an entire wafer, and the mask to align precisely to features already on the wafer. As modern processes use increasingly large wafers, these conditions become increasingly difficult.

Research and prototyping processes often use contact or proximity lithography, because it uses inexpensive hardware and can achieve high optical resolution. The resolution in proximity lithography is approximately the square root of the product of the wavelength and the gap distance. Hence, except for projection lithography (see below), contact printing offers the best resolution, because its gap distance is approximately zero (neglecting the thickness of the photoresist itself). In addition, nanoimprint lithography may revive interest in this familiar technique, especially since the cost of ownership is expected to be low; however, the shortcomings of contact printing discussed above remain as challenges.

Projection

Very-large-scale integration (VLSI) lithography uses projection systems. Unlike contact or proximity masks, which cover an entire wafer, projection masks (known as "reticles") show only one die or an array of dies (known as a "field"). Projection exposure systems (steppers or scanners) project the mask onto the wafer many times to create the complete pattern. The difference between steppers and scanners is that, during exposure, a scanner moves the photomask and the wafer simultaneously, while a stepper only moves the wafer. Mask aligners preceded steppers and do not move the photomask nor the wafer during exposure. Immersion lithography scanners use a layer of Ultrapure water between the lens and the wafer to increase resolution. An alternative to photolithography is nanoimprint lithography.

Photomasks

The image for the mask originates from a computerized data file. This data file is converted to a series of polygons and written onto a square of fused quartz substrate covered with a layer of chromium using a photolithographic process. A laser beam (laser writer) or a beam of electrons (e-beam writer) is used to expose the pattern defined by the data file and travels over the surface of the substrate in either a vector or raster scan manner. Where the photoresist on the mask is exposed, the chrome can be etched away, leaving a clear path for the illumination light in the stepper/scanner system to travel through.

Resolution in projection systems

 
The filtered fluorescent lighting, yellow LED or low-pressure sodium lighting in photolithography cleanrooms contains no ultraviolet or blue light in order to avoid exposing photoresists. The spectrum of light emitted by such fixtures gives virtually all such spaces a bright yellow color.
 
Diffraction order spectrum with partial coherence. The diffraction order spectrum (up to 3rd order) of a line-space pattern (pitch<3 wavelength/NA) is shown with different colors indicating different illumination angles in a partial coherence setting.

The ability to project a clear image of a small feature onto the wafer is limited by the wavelength of the light that is used, and the ability of the reduction lens system to capture enough diffraction orders from the illuminated mask. Current state-of-the-art photolithography tools use deep ultraviolet (DUV) light from excimer lasers with wavelengths of 248 (KrF) and 193 (ArF) nm (the dominant lithography technology today is thus also called "excimer laser lithography"), which allow minimum feature sizes down to 50 nm. Excimer laser lithography has thus played a critical role in the continued advance of the Moore's Law for the last 20 years (see below[19]).

The minimum feature size that a projection system can print is given approximately by:

 

where

  is the minimum feature size (also called the critical dimension, target design rule, or "half-pitch").

  (commonly called k1 factor) is a coefficient that encapsulates process-related factors, and typically equals 0.4 for production. The minimum feature size can be reduced by decreasing this coefficient through computational lithography.

  is the wavelength of light used

  is the numerical aperture of the lens as seen from the wafer

 
Illumination direction impact. On-axis illumination provides higher contrast, but only off-axis illumination resolves the smallest pitch.
 
The Rayleigh criterion defines the minimum separation for preserving the distance between two points in the projected image.

According to this equation, minimum feature sizes can be decreased by decreasing the wavelength, and increasing the numerical aperture (to achieve a tighter focused beam and a smaller spot size). However, this design method runs into a competing constraint. In modern systems, the depth of focus is also a concern:

 

Here,   is another process-related coefficient. The depth of focus restricts the thickness of the photoresist and the depth of the topography on the wafer. Chemical mechanical polishing is often used to flatten topography before high-resolution lithographic steps.

From classical optics, k1=0.61 by the Rayleigh criterion.[20] The image of two points separated by less than 1.22 wavelength/NA will not maintain that separation but will be larger due to the interference between the Airy discs of the two points. It must also be remembered, though, that the distance between two features can also change with defocus.[21]

 
Illumination can significantly impact the apparent pitch of the image of the same object (a pair of bright lines in this case).
 
Straight edges of shortened features are distorted into bowed edges as pitch is reduced in both directions.
 
Gap width vs. half-pitch. The tighter the line pitch, the wider the gap between the ends of the lines (perpendicular to the pitch).

Resolution is also nontrivial in a two-dimensional context. For example, a tighter line pitch results in wider gaps (in the perpendicular direction) between the ends of the lines.[22][23] More fundamentally, straight edges become rounded for shortened rectangular features, where both x and y pitches are near the resolution limit.[24][25][26][27]

For advanced nodes, blur, rather than wavelength, becomes the key resolution-limiting factor. Minimum pitch is given by blur sigma/0.14.[28] Blur is affected by dose[29][30][31] as well as quantum yield,[32] leading to a tradeoff with stochastic defects, in the case of EUV.[33][34][35]

Stochastic effects

 
Features imaged by DUV (left) have much less edge position variability than those imaged by EUV (right).

As light consists of photons, at low doses the image quality ultimately depends on the photon number. This affects the use of extreme ultraviolet lithography or EUVL, which is limited to the use of low doses on the order of 20 photons/nm2.[36] This is due to fewer photons for the same energy dose for a shorter wavelength (higher energy per photon). With fewer photons making up the image, there is noise in the edge placement.[37]

 
Photons are divided among multiple source points. The photons making up the exposure dose are divided equally among the source points (two are shown here) which are positioned within the pupil.

The stochastic effects would become more complicated with larger pitch patterns with more diffraction orders and using more illumination source points.[38][39]

Light sources

 
One of the evolutionary paths of lithography has been the use of shorter wavelengths. It is worth noting that the same light source may be used for several technology generations.

Historically, photolithography has used ultraviolet light from gas-discharge lamps using mercury, sometimes in combination with noble gases such as xenon. These lamps produce light across a broad spectrum with several strong peaks in the ultraviolet range. This spectrum is filtered to select a single spectral line. From the early 1960s through the mid-1980s, Hg lamps had been used in lithography for their spectral lines at 436 nm ("g-line"), 405 nm ("h-line") and 365 nm ("i-line"). However, with the semiconductor industry's need for both higher resolution (to produce denser and faster chips) and higher throughput (for lower costs), lamp-based lithography tools were no longer able to meet the industry's high-end requirements.

This challenge was overcome in 1982 when excimer laser lithography was proposed and demonstrated at IBM by Kanti Jain.[40][41][42][43] Excimer laser lithography machines (steppers and scanners) became the primary tools in microelectronics production, and has enabled minimum features sizes in chip manufacturing to shrink from 800 nanometers in 1990 to 7 nanometers in 2018.[44][45] From an even broader scientific and technological perspective, in the 50-year history of the laser since its first demonstration in 1960, the invention and development of excimer laser lithography has been recognized as a major milestone.[46][47][48]

The commonly used deep ultraviolet excimer lasers in lithography systems are the krypton fluoride (KrF) laser at 248 nm wavelength and the argon fluoride laser (ArF) at 193 nm wavelength. The primary manufacturers of excimer laser light sources in the 1980s were Lambda Physik (now part of Coherent, Inc.) and Lumonics. Since the mid-1990s Cymer Inc. has become the dominant supplier of excimer laser sources to the lithography equipment manufacturers, with Gigaphoton Inc. as their closest rival. Generally, an excimer laser is designed to operate with a specific gas mixture; therefore, changing wavelength is not a trivial matter, as the method of generating the new wavelength is completely different, and the absorption characteristics of materials change. For example, air begins to absorb significantly around the 193 nm wavelength; moving to sub-193 nm wavelengths would require installing vacuum pump and purge equipment on the lithography tools (a significant challenge). An inert gas atmosphere can sometimes be used as a substitute for a vacuum, to avoid the need for hard plumbing. Furthermore, insulating materials such as silicon dioxide, when exposed to photons with energy greater than the band gap, release free electrons and holes which subsequently cause adverse charging.

Optical lithography has been extended to feature sizes below 50 nm using the 193 nm ArF excimer laser and liquid immersion techniques. Also termed immersion lithography, this enables the use of optics with numerical apertures exceeding 1.0. The liquid used is typically ultra-pure, deionised water, which provides for a refractive index above that of the usual air gap between the lens and the wafer surface. The water is continually circulated to eliminate thermally-induced distortions. Water will only allow NA's of up to ~1.4, but fluids with higher refractive indices would allow the effective NA to be increased further.

 
Changing the lithography wavelength is significantly limited by absorption. Air absorbs below c. 185 nm.

Experimental tools using the 157 nm wavelength from the F2 excimer laser in a manner similar to current exposure systems have been built. These were once targeted to succeed 193 nm lithography at the 65 nm feature size node but have now all but been eliminated by the introduction of immersion lithography. This was due to persistent technical problems with the 157 nm technology and economic considerations that provided strong incentives for the continued use of 193 nm excimer laser lithography technology. High-index immersion lithography is the newest extension of 193 nm lithography to be considered. In 2006, features less than 30 nm were demonstrated by IBM using this technique.[49]

UV excimer lasers have been demonstrated to about 126 nm (for Ar2*). Mercury arc lamps are designed to maintain a steady DC current of 50 to 150 Volts, however excimer lasers have a higher resolution. Excimer lasers are gas-based light systems that are usually filled with inert and halide gases (Kr, Ar, Xe, F and Cl) that are charged by an electric field. The higher the frequency, the greater the resolution of the image. KrF lasers are able to function at a frequency of 4 kHz . In addition to running at a higher frequency, excimer lasers are compatible with more advanced machines than mercury arc lamps are. They are also able to operate from greater distances (up to 25 meters) and are able to maintain their accuracy with a series of mirrors and antireflective-coated lenses. By setting up multiple lasers and mirrors, the amount of energy loss is minimized, also since the lenses are coated with antireflective material, the light intensity remains relatively the same from when it left the laser to when it hits the wafer.[50]

Lasers have been used to indirectly generate non-coherent extreme UV (EUV) light at 13.5 nm for extreme ultraviolet lithography. The EUV light is not emitted by the laser, but rather by a tin or xenon plasma which is excited by an excimer or CO2 laser.[51] Fabrication of feature sizes of 10 nm has been demonstrated in production environments, but not yet at rates needed for commercialization. However, this is expected by 2016.[52] This technique does not require a synchrotron, and EUV sources, as noted, do not produce coherent light. However vacuum systems and a number of novel technologies (including much higher EUV energies than are now produced) are needed to work with UV at the edge of the X-ray spectrum (which begins at 10 nm). As of 2020, EUV is in mass production use by leading edge foundries such as TSMC and Samsung.

Theoretically, an alternative light source for photolithography, especially if and when wavelengths continue to decrease to extreme UV or X-ray, is the free-electron laser (or one might say xaser for an X-ray device). Free-electron lasers can produce high quality beams at arbitrary wavelengths.

Visible and infrared femtosecond lasers were also applied for lithography. In that case photochemical reactions are initiated by multiphoton absorption. Usage of these light sources have a lot of benefits, including possibility to manufacture true 3D objects and process non-photosensitized (pure) glass-like materials with superb optical resiliency.[53]


Experimental methods

Photolithography has been defeating predictions of its demise for many years. For instance, by the early 1980s, many in the semiconductor industry had come to believe that features smaller than 1 micron could not be printed optically. Modern techniques using excimer laser lithography already print features with dimensions a fraction of the wavelength of light used – an amazing optical feat. New techniques such as immersion lithography, dual-tone resist and multiple patterning continue to improve the resolution of 193 nm lithography. Meanwhile, current research is exploring alternatives to conventional UV, such as electron beam lithography, X-ray lithography, extreme ultraviolet lithography and ion projection lithography. Extreme ultraviolet lithography is in mass production use as of 2020 by Samsung.

Economy

In 2001 NIST publication has reported that photolithography process constituted about 35% of total cost of a wafer processing costs.[54]: 11 

See also

References

  1. ^ "DSA Re-Enters Litho Picture". March 15, 2018.
  2. ^ a b "Jay W. Lathrop | Computer History Museum". www.computerhistory.org. Retrieved 2018-06-18.
  3. ^ Willson, C. G., Dammel, R. R., and Reiser, A (1997). Tarascon-Auriol, Regine G (ed.). "Photoresist materials: a historical perspective". Advances in Resist Technology and Processing XIV. 3049: 28. Bibcode:1997SPIE.3049...28W. doi:10.1117/12.275826. S2CID 136616549.{{cite journal}}: CS1 maint: multiple names: authors list (link)
  4. ^ "Lithography".
  5. ^ a b c d Lathrop, Jay W. (2013). "The Diamond Ordnance Fuze Laboratory's Photolithographic Approach to Microcircuits - IEEE Journals & Magazine". IEEE Annals of the History of Computing. 35: 48–55. doi:10.1109/MAHC.2011.83. S2CID 2562671.
  6. ^ a b Weightman, Gavin (2015). Eureka: How Invention Happens. Yale University Press. pp. 178–179. ISBN 978-0300192087.
  7. ^ Lécuyer, Christophe (2010). Makers of the Microchip: A Documentary History of Fairchild Semiconductor. The MIT Press. ISBN 978-0262014243.
  8. ^ Jaeger, Richard C. (2002). "Lithography". Introduction to Microelectronic Fabrication (2nd ed.). Upper Saddle River: Prentice Hall. ISBN 978-0-201-44494-0.
  9. ^ Zhao, X-A; Kolawa, E; Nicolet, M-A (1986). "Reactions of thin metal films with crystalline and amorphous Al2O3". California Institute of Technology.
  10. ^ "Semiconductor Lithography (Photolithography) - The Basic Process".
  11. ^ "S. Arscott, 'The limits of edge bead planarization and surface levelling in spin-coated liquid films', J. Micromech. Microeng. 30, 025003, (2020)". doi:10.1088/1361-6439/ab60be. S2CID 214580612. {{cite journal}}: Cite journal requires |journal= (help)
  12. ^ "Top Anti-reflective Coatings vs Bottom Anti-reflective Coatings".
  13. ^ MicroChemicals. "Basics of Microstructuring: Anti-Reflective Coatings" (PDF). Microchemicals GmbH. Retrieved 2020-01-31.
  14. ^ "AR™ 10L Bottom Anti-Reflectant Coating (BARC) | DuPont". www.dupont.com.
  15. ^ Nalamasu, Omkaram; et al. "An Overview of Resist Processing for DUV Photolithography".
  16. ^ "Techniques - lithography | Core Facilities". cores.research.asu.edu. Retrieved 2020-02-04.
  17. ^ "AN-Methyl-2-Pyrrolidone" (PDF).
  18. ^ Noh, Jinsoo; Jung, Minhoon; Jung, Younsu; Yeom, Chisun; Pyo, Myoungho; Cho, Gyoujin (April 2015). "Key Issues With Printed Flexible Thin Film Transistors and Their Application in Disposable RF Sensors". Proceedings of the IEEE. 103 (4): 554–566. doi:10.1109/JPROC.2015.2410303. ISSN 0018-9219.
  19. ^ La Fontaine, B., "Lasers and Moore's Law", SPIE Professional, Oct. 2010, p. 20; http://spie.org/x42152.xml
  20. ^ "Lithography Resolution Limits: Paired Features".
  21. ^ "Impact of Defocus and Illumination on Imaging of Pitch".
  22. ^ "How Line Cuts Became Necessary".
  23. ^ M. Eurlings et al., Proc. SPIE 4404, 266 (2001).
  24. ^ "1D vs 2D Patterning Limits in Advanced Lithography". YouTube.
  25. ^ "Vanishing of Half the Fourier Coefficients in Staggered Arrays". YouTube.
  26. ^ Pitch Walking From Corner Rounding in Lithography
  27. ^ E. S. Wu et al., J. Microlith., Microfab., Microsyst. 4, 023009 (2005).
  28. ^ "Blur not Wavelength Determines Resolution at Advanced Nodes".
  29. ^ A. Narasimhan et al., Proc. SPIE 9422, 942208 (2015).
  30. ^ P. de Schepper et al., Proc. SPIE 9425, 942507 (2015).
  31. ^ Ma, J. H.; Naulleau, P.; Ahmed, M.; Kostko, O. (2020). "Determination of effective attenuation length of slow electrons in polymer films". Journal of Applied Physics. 127 (24): 245301. Bibcode:2020JAP...127x5301M. doi:10.1063/5.0007163. OSTI 1782149. S2CID 221935438.
  32. ^ "Resolution, LER, and Sensitivity Limitations of Photoresist" (PDF).
  33. ^ P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  34. ^ "Revisiting EUV Lithography: Post-Blur Stochastic Distributions".
  35. ^ A. De Silva et al., Proc. SPIE 10957, 109570F (2019).
  36. ^ "The Stochastic Behavior of Optical Images and Its Impact on Resolution". www.linkedin.com.
  37. ^ "Stochastic Origins of EUV Feature Edge Roughness".
  38. ^ "The Need for Low Pupil Fill in EUV Lithography".
  39. ^ "The Stochastic Variation of EUV Source Illumination".
  40. ^ Jain, K. "Excimer Laser Lithography", SPIE Press, Bellingham, WA, 1990.
  41. ^ Jain, K. et al., "Ultrafast deep-UV lithography with excimer lasers", IEEE Electron Device Lett., Vol. EDL-3, 53 (1982): http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=1482581
  42. ^ Lin, B. J., "Optical Lithography", SPIE Press, Bellingham, WA, 2009, p. 136.
  43. ^ Basting, D., et al., "Historical Review of Excimer Laser Development," in "Excimer Laser Technology", D. Basting and G. Marowsky, Eds., Springer, 2005.
  44. ^ Samsung Starts Industry's First Mass Production of System-on-Chip with 10-Nanometer FinFET Technology; https://news.samsung.com/global/samsung-starts-industrys-first-mass-production-of-system-on-chip-with-10-nanometer-finfet-technology
  45. ^ "TSMC Kicks Off Volume Production of 7nm Chips". AnandTech. 2018-04-28. Retrieved 2018-10-20.
  46. ^ American Physical Society / Lasers / History / Timeline; http://www.laserfest.org/lasers/history/timeline.cfm
  47. ^ SPIE / Advancing the Laser / 50 Years and into the Future; http://spie.org/Documents/AboutSPIE/SPIE%20Laser%20Luminaries.pdf
  48. ^ U.K. Engineering & Physical Sciences Research Council / Lasers in Our Lives / 50 Years of Impact; (PDF). Archived from the original (PDF) on 2011-09-13. Retrieved 2011-08-22.{{cite web}}: CS1 maint: archived copy as title (link)
  49. ^ Hand, Aaron. . Archived from the original on 2015-09-29.
  50. ^ Martini, Matteo. . Archived from the original on 2014-10-29. Retrieved 2014-10-28.
  51. ^ "StackPath".
  52. ^ Merritt, Rick. "EUV Nudges Toward 10nm". EETimes.
  53. ^ Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas (2017-01-02). "Optically Clear and Resilient Free-Form μ-Optics 3D-Printed via Ultrafast Laser Lithography". Materials. 10 (1): 12. Bibcode:2017Mate...10...12J. doi:10.3390/ma10010012. PMC 5344581. PMID 28772389.
  54. ^ "Office of microelectronics programs: programs, activities, and accomplishments" (PDF). National Bureau of Standards: Electronics and Electrical Engineering Laboratory. Archived from the original (PDF) on July 23, 2020.

External links

  • Semiconductor Lithography – an overview of lithography
  • Optical Lithography Introduction – IBM site with lithography-related articles

photolithography, this, article, about, semiconductor, manufacturing, printing, paper, lithography, similar, processes, metals, photochemical, machining, integrated, circuit, manufacturing, photolithography, optical, lithography, general, term, used, technique. This article is about semiconductor manufacturing For printing on paper see lithography For similar processes for metals see photochemical machining In integrated circuit manufacturing photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate such as a silicon wafer to protect selected areas of it during subsequent etching deposition or implantation operations Typically ultraviolet light is used to transfer a geometric design from an optical mask to a light sensitive chemical photoresist coated on the substrate The photoresist either breaks down or hardens where it is exposed to light The patterned film is then created by removing the softer parts of the coating with appropriate solvents Conventional photoresists typically consists of three components resin sensitizer and solvent Photolithography processes can be classified according to the type of light used such as ultraviolet deep ultraviolet extreme ultraviolet or X ray The wavelength of light used determines the minimum feature size that can be formed in the photoresist Photolithography is a subclass of microlithography the general term for processes that generate patterned thin films Other technologies in this broader class include the use of steerable electron beams or more rarely nanoimprinting interference magnetic fields or scanning probes On a broader level it may compete with directed self assembly of micro and nanostructures 1 Photolithography shares some fundamental principles with photography in that the pattern in the photoresist is created by exposing it to light either directly by projection through a lens or by illuminating a mask placed directly over the substrate as in contact printing The technique can also be seen as a high precision version of the method used to make printed circuit boards The name originated from a loose analogy with the traditional photographic method of producing plates for lithographic printing on paper 2 however subsequent stages in the process have more in common with etching than with traditional lithography Photolithography is the most common method for semiconductor fabrication of integrated circuits ICs or chips such as solid state memories and microprocessors It can create extremely small patterns down to a few tens of nanometers in size It provides precise control of the shape and size of the objects it creates and can create patterns over an entire wafer in a single step quickly and with relatively low cost In complex integrated circuits a wafer may go through the photolithographic cycle as many as 50 times It is also an important technique for the fabrication of microscopic structures in general such as microelectromechanical systems However photolithography cannot be used to produce masks on surfaces that are not perfectly flat and like all chip manufacturing processes it requires extremely clean operating conditions Contents 1 History 2 Basic procedure 2 1 Cleaning 2 2 Preparation 2 3 Photoresist application 2 4 Exposure and developing 2 5 Etching implantation 2 6 Photoresist removal 3 Exposure printing systems 3 1 Contact and proximity 3 2 Projection 4 Photomasks 5 Resolution in projection systems 6 Stochastic effects 7 Light sources 8 Experimental methods 9 Economy 10 See also 11 References 12 External linksHistory EditThe root words photo litho and graphy all have Greek origins with the meanings light stone and writing respectively As suggested by the name compounded from them photolithography is a printing method originally based on the use of limestone printing plates in which light plays an essential role In the 1820s Nicephore Niepce invented a photographic process that used Bitumen of Judea a natural asphalt as the first photoresist A thin coating of the bitumen on a sheet of metal glass or stone became less soluble where it was exposed to light the unexposed parts could then be rinsed away with a suitable solvent baring the material beneath which was then chemically etched in an acid bath to produce a printing plate The light sensitivity of bitumen was very poor and very long exposures were required but despite the later introduction of more sensitive alternatives its low cost and superb resistance to strong acids prolonged its commercial life into the early 20th century In 1940 Oskar Suss created a positive photoresist by using diazonaphthoquinone which worked in the opposite manner the coating was initially insoluble and was rendered soluble where it was exposed to light 3 In 1954 Louis Plambeck Jr developed the Dycryl polymeric letterpress plate which made the platemaking process faster 4 In 1952 the U S military assigned Jay W Lathrop and James R Nall at the National Bureau of Standards later the U S Army Diamond Ordnance Fuze Laboratory which eventually merged to form the now present Army Research Laboratory with the task of finding a way to reduce the size of electronic circuits in order to better fit the necessary circuitry in the limited space available inside a proximity fuze 5 Inspired by the application of photoresist a photosensitive liquid used to mark the boundaries of rivet holes in metal aircraft wings Nall determined that a similar process can be used to protect the germanium in the transistors and even pattern the surface with light 6 During development Lathrop and Nall were successful in creating a 2D miniaturized hybrid integrated circuit with transistors using this technique 5 In 1958 during the IRE Professional Group on Electron Devices PGED conference in Washington D C they presented the first paper to describe the fabrication of transistors using photographic techniques and adopted the term photolithography to describe the process marking the first published use of the term to describe semiconductor device patterning 6 2 Despite the fact that photolithography of electronic components concerns etching metal duplicates rather than etching stone to produce a master as in conventional lithographic printing Lathrop and Nall chose the term photolithography over photoetching because the former sounded high tech 5 A year after the conference Lathrop and Nall s patent on photolithography was formally approved on June 9 1959 7 Photolithography would later contribute to the development of the first semiconductor ICs as well as the first microchips 5 Basic procedure Edit Simplified illustration of dry etching using positive photoresist during a photolithography process in semiconductor microfabrication not to scale A single iteration of photolithography combines several steps in sequence Modern cleanrooms use automated robotic wafer track systems to coordinate the process The procedure described here omits some advanced treatments such as thinning agents or edge bead removal 8 The photolithography process is carried out by the wafer track and stepper scanner and the wafer track system and the stepper scanner are installed side by side Wafer track systems have been replaced by wafer coater developer systems which perform the same functions Cleaning Edit If organic or inorganic contaminations are present on the wafer surface they are usually removed by wet chemical treatment e g the RCA clean procedure based on solutions containing hydrogen peroxide Other solutions made with trichloroethylene acetone or methanol can also be used to clean 9 Preparation Edit The wafer is initially heated to a temperature sufficient to drive off any moisture that may be present on the wafer surface 150 C for ten minutes is sufficient Wafers that have been in storage must be chemically cleaned to remove contamination A liquid or gaseous adhesion promoter such as Bis trimethylsilyl amine hexamethyldisilazane HMDS is applied to promote adhesion of the photoresist to the wafer The surface layer of silicon dioxide on the wafer reacts with HMDS to form tri methylated silicon dioxide a highly water repellent layer not unlike the layer of wax on a car s paint This water repellent layer prevents the aqueous developer from penetrating between the photoresist layer and the wafer s surface thus preventing so called lifting of small photoresist structures in the developing pattern In order to ensure the development of the image it is best covered and placed over a hot plate and let it dry while stabilizing the temperature at 120 C 10 Photoresist application Edit The wafer is covered with photoresist by spin coating Thus the top layer of resist is quickly ejected from the wafer s edge while the bottom layer still creeps slowly radially along the wafer In this way any bump or ridge of resist is removed leaving a very flat layer However viscous films may result in large edge beads whose planarization has physical limits 11 Final thickness is also determined by the evaporation of liquid solvents from the resist For very small dense features lt 125 or so nm lower resist thicknesses lt 0 5 microns are needed to overcome collapse effects at high aspect ratios typical aspect ratios are lt 4 1 The photoresist coated wafer is then prebaked to drive off excess photoresist solvent typically at 90 to 100 C for 30 to 60 seconds on a hotplate A BARC coating Bottom Anti Reflectant Coating may be applied before the photoresist is applied to avoid reflections from occurring under the photoresist and to improve the photoresist s performance at smaller semiconductor nodes 12 13 14 Exposure and developing Edit After prebaking the photoresist is exposed to a pattern of intense light The exposure to light causes a chemical change that allows some of the photoresist to be removed by a special solution called developer by analogy with photographic developer Positive photoresist the most common type becomes soluble in the developer when exposed with negative photoresist unexposed regions are soluble in the developer A post exposure bake PEB is performed before developing typically to help reduce standing wave phenomena caused by the destructive and constructive interference patterns of the incident light In deep ultraviolet lithography chemically amplified resist CAR chemistry is used This process is much more sensitive to PEB time temperature and delay as most of the exposure reaction creating acid making the polymer soluble in the basic developer actually occurs in the PEB 15 The develop chemistry is delivered on a spinner much like photoresist Developers originally often contained sodium hydroxide NaOH However sodium is considered an extremely undesirable contaminant in MOSFET fabrication because it degrades the insulating properties of gate oxides specifically sodium ions can migrate in and out of the gate changing the threshold voltage of the transistor and making it harder or easier to turn the transistor on over time Metal ion free developers such as tetramethylammonium hydroxide TMAH are now used The resulting wafer is then hard baked if a non chemically amplified resist was used typically at 120 to 180 C 16 for 20 to 30 minutes The hard bake solidifies the remaining photoresist to make a more durable protecting layer in future ion implantation wet chemical etching or plasma etching From preparation until this step the photolithography procedure has been carried out by two machines the photolithography stepper or scanner and the coater developer The two machines are usually installed side by side Etching implantation Edit Main article Etching microfabrication In etching a liquid wet or plasma dry chemical agent removes the uppermost layer of the substrate in the areas that are not protected by photoresist In semiconductor fabrication dry etching techniques are generally used as they can be made anisotropic in order to avoid significant undercutting of the photoresist pattern This is essential when the width of the features to be defined is similar to or less than the thickness of the material being etched i e when the aspect ratio approaches unity Wet etch processes are generally isotropic in nature which is often indispensable for microelectromechanical systems where suspended structures must be released from the underlying layer The development of low defectivity anisotropic dry etch process has enabled the ever smaller features defined photolithographically in the resist to be transferred to the substrate material Photoresist removal Edit After a photoresist is no longer needed it must be removed from the substrate This usually requires a liquid resist stripper which chemically alters the resist so that it no longer adheres to the substrate Alternatively the photoresist may be removed by a plasma containing oxygen which oxidizes it This process is called ashing and resembles dry etching The use of 1 Methyl 2 pyrrolidone NMP solvent for photoresist is another method used to remove an image When the resist has been dissolved the solvent can be removed by heating to 80 C without leaving any residue 17 Exposure printing systems Edit The wafer track portion of an aligner that uses 365 nm ultraviolet light Exposure systems typically produce an image on the wafer using a photomask The photomask blocks light in some areas and lets it pass in others Maskless lithography projects a precise beam directly onto the wafer without using a mask but it is not widely used in commercial processes Exposure systems may be classified by the optics that transfer the image from the mask to the wafer Photolithography produces better thin film transistor structures than printed electronics due to smoother printed layers less wavy patterns and more accurate drain source electrode registration 18 Contact and proximity Edit Main article Contact lithography A contact printer the simplest exposure system puts a photomask in direct contact with the wafer and exposes it to a uniform light A proximity printer puts a small gap between the photomask and wafer In both cases the mask covers the entire wafer and simultaneously patterns every die Contact printing is liable to damage both the mask and the wafer and this was the primary reason it was abandoned for high volume production Both contact and proximity lithography require the light intensity to be uniform across an entire wafer and the mask to align precisely to features already on the wafer As modern processes use increasingly large wafers these conditions become increasingly difficult Research and prototyping processes often use contact or proximity lithography because it uses inexpensive hardware and can achieve high optical resolution The resolution in proximity lithography is approximately the square root of the product of the wavelength and the gap distance Hence except for projection lithography see below contact printing offers the best resolution because its gap distance is approximately zero neglecting the thickness of the photoresist itself In addition nanoimprint lithography may revive interest in this familiar technique especially since the cost of ownership is expected to be low however the shortcomings of contact printing discussed above remain as challenges Projection Edit See also Stepper Very large scale integration VLSI lithography uses projection systems Unlike contact or proximity masks which cover an entire wafer projection masks known as reticles show only one die or an array of dies known as a field Projection exposure systems steppers or scanners project the mask onto the wafer many times to create the complete pattern The difference between steppers and scanners is that during exposure a scanner moves the photomask and the wafer simultaneously while a stepper only moves the wafer Mask aligners preceded steppers and do not move the photomask nor the wafer during exposure Immersion lithography scanners use a layer of Ultrapure water between the lens and the wafer to increase resolution An alternative to photolithography is nanoimprint lithography Photomasks EditMain article Photomask The image for the mask originates from a computerized data file This data file is converted to a series of polygons and written onto a square of fused quartz substrate covered with a layer of chromium using a photolithographic process A laser beam laser writer or a beam of electrons e beam writer is used to expose the pattern defined by the data file and travels over the surface of the substrate in either a vector or raster scan manner Where the photoresist on the mask is exposed the chrome can be etched away leaving a clear path for the illumination light in the stepper scanner system to travel through Resolution in projection systems EditMain articles Optics Diffraction and optical resolution Diffraction and Optical resolution The filtered fluorescent lighting yellow LED or low pressure sodium lighting in photolithography cleanrooms contains no ultraviolet or blue light in order to avoid exposing photoresists The spectrum of light emitted by such fixtures gives virtually all such spaces a bright yellow color Diffraction order spectrum with partial coherence The diffraction order spectrum up to 3rd order of a line space pattern pitch lt 3 wavelength NA is shown with different colors indicating different illumination angles in a partial coherence setting The ability to project a clear image of a small feature onto the wafer is limited by the wavelength of the light that is used and the ability of the reduction lens system to capture enough diffraction orders from the illuminated mask Current state of the art photolithography tools use deep ultraviolet DUV light from excimer lasers with wavelengths of 248 KrF and 193 ArF nm the dominant lithography technology today is thus also called excimer laser lithography which allow minimum feature sizes down to 50 nm Excimer laser lithography has thus played a critical role in the continued advance of the Moore s Law for the last 20 years see below 19 The minimum feature size that a projection system can print is given approximately by C D k 1 l N A displaystyle CD k 1 cdot frac lambda NA whereC D displaystyle CD is the minimum feature size also called the critical dimension target design rule or half pitch k 1 displaystyle k 1 commonly called k1 factor is a coefficient that encapsulates process related factors and typically equals 0 4 for production The minimum feature size can be reduced by decreasing this coefficient through computational lithography l displaystyle lambda is the wavelength of light usedN A displaystyle NA is the numerical aperture of the lens as seen from the wafer Illumination direction impact On axis illumination provides higher contrast but only off axis illumination resolves the smallest pitch The Rayleigh criterion defines the minimum separation for preserving the distance between two points in the projected image According to this equation minimum feature sizes can be decreased by decreasing the wavelength and increasing the numerical aperture to achieve a tighter focused beam and a smaller spot size However this design method runs into a competing constraint In modern systems the depth of focus is also a concern D F k 2 l N A 2 displaystyle D F k 2 cdot frac lambda NA 2 Here k 2 displaystyle k 2 is another process related coefficient The depth of focus restricts the thickness of the photoresist and the depth of the topography on the wafer Chemical mechanical polishing is often used to flatten topography before high resolution lithographic steps From classical optics k1 0 61 by the Rayleigh criterion 20 The image of two points separated by less than 1 22 wavelength NA will not maintain that separation but will be larger due to the interference between the Airy discs of the two points It must also be remembered though that the distance between two features can also change with defocus 21 Illumination can significantly impact the apparent pitch of the image of the same object a pair of bright lines in this case Straight edges of shortened features are distorted into bowed edges as pitch is reduced in both directions Gap width vs half pitch The tighter the line pitch the wider the gap between the ends of the lines perpendicular to the pitch Resolution is also nontrivial in a two dimensional context For example a tighter line pitch results in wider gaps in the perpendicular direction between the ends of the lines 22 23 More fundamentally straight edges become rounded for shortened rectangular features where both x and y pitches are near the resolution limit 24 25 26 27 For advanced nodes blur rather than wavelength becomes the key resolution limiting factor Minimum pitch is given by blur sigma 0 14 28 Blur is affected by dose 29 30 31 as well as quantum yield 32 leading to a tradeoff with stochastic defects in the case of EUV 33 34 35 Stochastic effects Edit Features imaged by DUV left have much less edge position variability than those imaged by EUV right As light consists of photons at low doses the image quality ultimately depends on the photon number This affects the use of extreme ultraviolet lithography or EUVL which is limited to the use of low doses on the order of 20 photons nm2 36 This is due to fewer photons for the same energy dose for a shorter wavelength higher energy per photon With fewer photons making up the image there is noise in the edge placement 37 Photons are divided among multiple source points The photons making up the exposure dose are divided equally among the source points two are shown here which are positioned within the pupil The stochastic effects would become more complicated with larger pitch patterns with more diffraction orders and using more illumination source points 38 39 Light sources Edit One of the evolutionary paths of lithography has been the use of shorter wavelengths It is worth noting that the same light source may be used for several technology generations Historically photolithography has used ultraviolet light from gas discharge lamps using mercury sometimes in combination with noble gases such as xenon These lamps produce light across a broad spectrum with several strong peaks in the ultraviolet range This spectrum is filtered to select a single spectral line From the early 1960s through the mid 1980s Hg lamps had been used in lithography for their spectral lines at 436 nm g line 405 nm h line and 365 nm i line However with the semiconductor industry s need for both higher resolution to produce denser and faster chips and higher throughput for lower costs lamp based lithography tools were no longer able to meet the industry s high end requirements This challenge was overcome in 1982 when excimer laser lithography was proposed and demonstrated at IBM by Kanti Jain 40 41 42 43 Excimer laser lithography machines steppers and scanners became the primary tools in microelectronics production and has enabled minimum features sizes in chip manufacturing to shrink from 800 nanometers in 1990 to 7 nanometers in 2018 44 45 From an even broader scientific and technological perspective in the 50 year history of the laser since its first demonstration in 1960 the invention and development of excimer laser lithography has been recognized as a major milestone 46 47 48 The commonly used deep ultraviolet excimer lasers in lithography systems are the krypton fluoride KrF laser at 248 nm wavelength and the argon fluoride laser ArF at 193 nm wavelength The primary manufacturers of excimer laser light sources in the 1980s were Lambda Physik now part of Coherent Inc and Lumonics Since the mid 1990s Cymer Inc has become the dominant supplier of excimer laser sources to the lithography equipment manufacturers with Gigaphoton Inc as their closest rival Generally an excimer laser is designed to operate with a specific gas mixture therefore changing wavelength is not a trivial matter as the method of generating the new wavelength is completely different and the absorption characteristics of materials change For example air begins to absorb significantly around the 193 nm wavelength moving to sub 193 nm wavelengths would require installing vacuum pump and purge equipment on the lithography tools a significant challenge An inert gas atmosphere can sometimes be used as a substitute for a vacuum to avoid the need for hard plumbing Furthermore insulating materials such as silicon dioxide when exposed to photons with energy greater than the band gap release free electrons and holes which subsequently cause adverse charging Optical lithography has been extended to feature sizes below 50 nm using the 193 nm ArF excimer laser and liquid immersion techniques Also termed immersion lithography this enables the use of optics with numerical apertures exceeding 1 0 The liquid used is typically ultra pure deionised water which provides for a refractive index above that of the usual air gap between the lens and the wafer surface The water is continually circulated to eliminate thermally induced distortions Water will only allow NA s of up to 1 4 but fluids with higher refractive indices would allow the effective NA to be increased further Changing the lithography wavelength is significantly limited by absorption Air absorbs below c 185 nm Experimental tools using the 157 nm wavelength from the F2 excimer laser in a manner similar to current exposure systems have been built These were once targeted to succeed 193 nm lithography at the 65 nm feature size node but have now all but been eliminated by the introduction of immersion lithography This was due to persistent technical problems with the 157 nm technology and economic considerations that provided strong incentives for the continued use of 193 nm excimer laser lithography technology High index immersion lithography is the newest extension of 193 nm lithography to be considered In 2006 features less than 30 nm were demonstrated by IBM using this technique 49 UV excimer lasers have been demonstrated to about 126 nm for Ar2 Mercury arc lamps are designed to maintain a steady DC current of 50 to 150 Volts however excimer lasers have a higher resolution Excimer lasers are gas based light systems that are usually filled with inert and halide gases Kr Ar Xe F and Cl that are charged by an electric field The higher the frequency the greater the resolution of the image KrF lasers are able to function at a frequency of 4 kHz In addition to running at a higher frequency excimer lasers are compatible with more advanced machines than mercury arc lamps are They are also able to operate from greater distances up to 25 meters and are able to maintain their accuracy with a series of mirrors and antireflective coated lenses By setting up multiple lasers and mirrors the amount of energy loss is minimized also since the lenses are coated with antireflective material the light intensity remains relatively the same from when it left the laser to when it hits the wafer 50 Lasers have been used to indirectly generate non coherent extreme UV EUV light at 13 5 nm for extreme ultraviolet lithography The EUV light is not emitted by the laser but rather by a tin or xenon plasma which is excited by an excimer or CO2 laser 51 Fabrication of feature sizes of 10 nm has been demonstrated in production environments but not yet at rates needed for commercialization However this is expected by 2016 52 This technique does not require a synchrotron and EUV sources as noted do not produce coherent light However vacuum systems and a number of novel technologies including much higher EUV energies than are now produced are needed to work with UV at the edge of the X ray spectrum which begins at 10 nm As of 2020 EUV is in mass production use by leading edge foundries such as TSMC and Samsung Theoretically an alternative light source for photolithography especially if and when wavelengths continue to decrease to extreme UV or X ray is the free electron laser or one might say xaser for an X ray device Free electron lasers can produce high quality beams at arbitrary wavelengths Visible and infrared femtosecond lasers were also applied for lithography In that case photochemical reactions are initiated by multiphoton absorption Usage of these light sources have a lot of benefits including possibility to manufacture true 3D objects and process non photosensitized pure glass like materials with superb optical resiliency 53 Experimental methods EditSee also Next generation lithography and Nanolithography Photolithography has been defeating predictions of its demise for many years For instance by the early 1980s many in the semiconductor industry had come to believe that features smaller than 1 micron could not be printed optically Modern techniques using excimer laser lithography already print features with dimensions a fraction of the wavelength of light used an amazing optical feat New techniques such as immersion lithography dual tone resist and multiple patterning continue to improve the resolution of 193 nm lithography Meanwhile current research is exploring alternatives to conventional UV such as electron beam lithography X ray lithography extreme ultraviolet lithography and ion projection lithography Extreme ultraviolet lithography is in mass production use as of 2020 by Samsung Economy EditIn 2001 NIST publication has reported that photolithography process constituted about 35 of total cost of a wafer processing costs 54 11 See also EditDip pen nanolithography Soft lithography Magnetolithography Nanochannel glass materials Stereolithography a macroscale process used to produce three dimensional shapes Wafer foundry Chemistry of photolithography Computational lithography ASML Holding Alveole Lab Semiconductor device fabricationReferences Edit DSA Re Enters Litho Picture March 15 2018 a b Jay W Lathrop Computer History Museum www computerhistory org Retrieved 2018 06 18 Willson C G Dammel R R and Reiser A 1997 Tarascon Auriol Regine G ed Photoresist materials a historical perspective Advances in Resist Technology and Processing XIV 3049 28 Bibcode 1997SPIE 3049 28W doi 10 1117 12 275826 S2CID 136616549 a href Template Cite journal html title Template Cite journal cite journal a CS1 maint multiple names authors list link Lithography a b c d Lathrop Jay W 2013 The Diamond Ordnance Fuze Laboratory s Photolithographic Approach to Microcircuits IEEE Journals amp Magazine IEEE Annals of the History of Computing 35 48 55 doi 10 1109 MAHC 2011 83 S2CID 2562671 a b Weightman Gavin 2015 Eureka How Invention Happens Yale University Press pp 178 179 ISBN 978 0300192087 Lecuyer Christophe 2010 Makers of the Microchip A Documentary History of Fairchild Semiconductor The MIT Press ISBN 978 0262014243 Jaeger Richard C 2002 Lithography Introduction to Microelectronic Fabrication 2nd ed Upper Saddle River Prentice Hall ISBN 978 0 201 44494 0 Zhao X A Kolawa E Nicolet M A 1986 Reactions of thin metal films with crystalline and amorphous Al2O3 California Institute of Technology Semiconductor Lithography Photolithography The Basic Process S Arscott The limits of edge bead planarization and surface levelling in spin coated liquid films J Micromech Microeng 30 025003 2020 doi 10 1088 1361 6439 ab60be S2CID 214580612 a href Template Cite journal html title Template Cite journal cite journal a Cite journal requires journal help Top Anti reflective Coatings vs Bottom Anti reflective Coatings MicroChemicals Basics of Microstructuring Anti Reflective Coatings PDF Microchemicals GmbH Retrieved 2020 01 31 AR 10L Bottom Anti Reflectant Coating BARC DuPont www dupont com Nalamasu Omkaram et al An Overview of Resist Processing for DUV Photolithography Techniques lithography Core Facilities cores research asu edu Retrieved 2020 02 04 AN Methyl 2 Pyrrolidone PDF Noh Jinsoo Jung Minhoon Jung Younsu Yeom Chisun Pyo Myoungho Cho Gyoujin April 2015 Key Issues With Printed Flexible Thin Film Transistors and Their Application in Disposable RF Sensors Proceedings of the IEEE 103 4 554 566 doi 10 1109 JPROC 2015 2410303 ISSN 0018 9219 La Fontaine B Lasers and Moore s Law SPIE Professional Oct 2010 p 20 http spie org x42152 xml Lithography Resolution Limits Paired Features Impact of Defocus and Illumination on Imaging of Pitch How Line Cuts Became Necessary M Eurlings et al Proc SPIE 4404 266 2001 1D vs 2D Patterning Limits in Advanced Lithography YouTube Vanishing of Half the Fourier Coefficients in Staggered Arrays YouTube Pitch Walking From Corner Rounding in Lithography E S Wu et al J Microlith Microfab Microsyst 4 023009 2005 Blur not Wavelength Determines Resolution at Advanced Nodes A Narasimhan et al Proc SPIE 9422 942208 2015 P de Schepper et al Proc SPIE 9425 942507 2015 Ma J H Naulleau P Ahmed M Kostko O 2020 Determination of effective attenuation length of slow electrons in polymer films Journal of Applied Physics 127 24 245301 Bibcode 2020JAP 127x5301M doi 10 1063 5 0007163 OSTI 1782149 S2CID 221935438 Resolution LER and Sensitivity Limitations of Photoresist PDF P De Bisschop and E Hendrickx Proc SPIE 10583 105831K 2018 Revisiting EUV Lithography Post Blur Stochastic Distributions A De Silva et al Proc SPIE 10957 109570F 2019 The Stochastic Behavior of Optical Images and Its Impact on Resolution www linkedin com Stochastic Origins of EUV Feature Edge Roughness The Need for Low Pupil Fill in EUV Lithography The Stochastic Variation of EUV Source Illumination Jain K Excimer Laser Lithography SPIE Press Bellingham WA 1990 Jain K et al Ultrafast deep UV lithography with excimer lasers IEEE Electron Device Lett Vol EDL 3 53 1982 http ieeexplore ieee org xpl freeabs all jsp arnumber 1482581 Lin B J Optical Lithography SPIE Press Bellingham WA 2009 p 136 Basting D et al Historical Review of Excimer Laser Development in Excimer Laser Technology D Basting and G Marowsky Eds Springer 2005 Samsung Starts Industry s First Mass Production of System on Chip with 10 Nanometer FinFET Technology https news samsung com global samsung starts industrys first mass production of system on chip with 10 nanometer finfet technology TSMC Kicks Off Volume Production of 7nm Chips AnandTech 2018 04 28 Retrieved 2018 10 20 American Physical Society Lasers History Timeline http www laserfest org lasers history timeline cfm SPIE Advancing the Laser 50 Years and into the Future http spie org Documents AboutSPIE SPIE 20Laser 20Luminaries pdf U K Engineering amp Physical Sciences Research Council Lasers in Our Lives 50 Years of Impact Archived copy PDF Archived from the original PDF on 2011 09 13 Retrieved 2011 08 22 a href Template Cite web html title Template Cite web cite web a CS1 maint archived copy as title link Hand Aaron High Index Lenses Push Immersion Beyond 32 nm Archived from the original on 2015 09 29 Martini Matteo Light Sources Used in Photolithography Archived from the original on 2014 10 29 Retrieved 2014 10 28 StackPath Merritt Rick EUV Nudges Toward 10nm EETimes Jonusauskas Linas Gailevicius Darius Mikoliunaite Lina Sakalauskas Danas Sakirzanovas Simas Juodkazis Saulius Malinauskas Mangirdas 2017 01 02 Optically Clear and Resilient Free Form m Optics 3D Printed via Ultrafast Laser Lithography Materials 10 1 12 Bibcode 2017Mate 10 12J doi 10 3390 ma10010012 PMC 5344581 PMID 28772389 Office of microelectronics programs programs activities and accomplishments PDF National Bureau of Standards Electronics and Electrical Engineering Laboratory Archived from the original PDF on July 23 2020 External links Edit Look up photolithography in Wiktionary the free dictionary BYU Photolithography Resources Semiconductor Lithography an overview of lithography Optical Lithography Introduction IBM site with lithography related articles Retrieved from https en wikipedia org w index php title Photolithography amp oldid 1130119311, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.