fbpx
Wikipedia

ASML Holding

ASML Holding N.V. (commonly shortened to ASML, originally standing for Advanced Semiconductor Materials Lithography) is a Dutch multinational corporation founded in 1984. ASML specializes in the development and manufacturing of photolithography machines which are used to produce computer chips.

ASML Holding N.V.
Corporate headquarters in Veldhoven
TypeNaamloze vennootschap
IndustrySemiconductor industry
Founded1984; 39 years ago (1984)
HeadquartersVeldhoven, Netherlands
Key people
ProductsPhotolithography systems for the semiconductor industry
Revenue 21.17 billion (2022)[1]
€5.62 billion (2022)[1]
Total assets €36.30 billion (2022)[1]
Total equity €8.81 billion (2022)[1]
Number of employees
39,086 (December 2022)[1]
Websitewww.asml.com
Footnotes / references
>16,000 patents (2023)

As of 2023 it is the largest supplier for the semiconductor industry and the sole supplier in the world of extreme ultraviolet lithography (EUV) photolithography machines that are required to manufacture the most advanced chips.[2] As of June 2023, ASML was the most highly valued European tech company, with a market capitalization of about US$280 billion.[3][4]

Products Edit

 
A diagonally cut ASML lens

ASML produces the photolithography machines used in the production of computer chips. In these machines, patterns are optically imaged onto a silicon wafer that is covered with a film of light-sensitive material (photoresist). This procedure is repeated dozens of times on a single wafer. The photoresist is then further processed to create the actual electronic circuits on the silicon. The optical imaging that ASML's machines deal with is used in the fabrication of nearly all integrated circuits and, as of 2011, ASML had 67 percent of the worldwide sales of lithography machines.[5]

ASML's competition consisted of Ultratech, Canon and Nikon, MKS Instruments, Lam Research and Cadence Design Systems.[citation needed]

Immersion lithography Edit

Since immersion lithography was first proposed by Burn-Jeng Lin in the 1970s,[6] ASML cooperated with Taiwan Semiconductor Manufacturing (TSMC). In 2004, TSMC began commercial production of 90 nanometer semiconductor nodes using ASML immersion lithography.[7] As of 2011, their high-end TWINSCAN NXT:1950i system was used for producing features down to 32 nanometres at up to 200 wafers per hour,[8] using a water immersion lens and an argon fluoride laser that produces light at a wavelength of 193 nm. As of 2011, an average lithography machine cost 27 million.[9]

DUV lithography Edit

Deep ultraviolet (DUV) lithography devices from ASML use light that penetrates the UV spectrum to print the tiny features that form the microchip's structure.[10]

In 2009, the IMEC research center in Belgium produced the world's first functional 22 nm CMOS Static random-access memory memory cells with a prototype EUV lithography machine.[11] In 2011 series-produced (non-prototype) EUV machines were shipped.[9]

EUV lithography Edit

After decades of development, ASML shipped the first production extreme ultraviolet lithography machine in 2013.[12] These machines produce light in the 13.5 nm wavelength range when a high-energy laser is focused on microscopic droplets of molten tin to produce a plasma, which then emits EUV light. The light is bounced off Zeiss mirrors that are the flattest in the world, onto the surface of a silicon wafer to deliver the designs for the chip.[13] ASML's best-selling EUV product has been the Twinscan NXE:3600D, which costs up to $200 million.[2] Shipping the machine requires 40 shipping containers, 20 trucks and three Boeing 747s.[14] As of 2022, ASML has shipped around 140 EUV systems, and it is the only company to manufacture them.[2]

ASML is working on the next generation of EUV systems, with the first shipments to customers for R&D purposes expected to take place at the end of 2023.[12] The platform is designated High-NA as it will increase the numerical aperture (NA) from 0.33 to 0.55,[12] and each system is expected to cost $300 million.[2]

Nanoimprint lithography Edit

In addition to immersion-based lithography and EUV lithography, ASML has a substantial intellectual property portfolio covering imprint lithography.[15]

Company Edit

ASML's corporate headquarters is in Veldhoven, Netherlands and the location for research, development, manufacturing and assembly. ASML employs more than 39,000 people[1] from 143 nationalities and relies on a network of nearly 5,000 tier 1 suppliers.[16] ASML has a worldwide customer base and over sixty service points in sixteen countries.[16] It has offices in the Netherlands, the United States, Belgium, France, Germany, Ireland, Israel, Italy, the United Kingdom, China, Hong Kong, Japan, South Korea, Malaysia, Singapore, and Taiwan.[16]

The company is listed on both the AEX and NASDAQ Stock Exchanges, as ASML. It is also a component of the Euro Stoxx 50[17] and NASDAQ-100.[18] As of 2023 ASML was the most highly valued European tech company, with a market capitalization of about US$270 billion.[3]

History Edit

The company, originally named ASM Lithography, is named ASML as its official name and not an abbreviation.[19] It was founded in 1984 as a joint venture between the Dutch companies ASM and Philips. Nowadays it is a public company. When the company became independent in 1988, it was decided that changing the name was not desirable, and the abbreviation ASML became the official company name.[20]

ASML released the lithography system PAS 5500 in 1991, which became an extremely successful platform for the company.[21] The PAS 5500 was first utilized by Micron Technology, which was one of the world's largest producers of computer memory and storage, and ASML's largest customer at that time.[22] The success of the PAS 5500 line propelled ASML into strong competition with Canon and Nikon, who were the leaders in that era of the lithography market.[21]

In 1997, ASML began studying a shift to using extreme ultraviolet and in 1999 joined a research consortium including Intel, two other U.S. chipmakers, as well as the US Department of Energy. It collaborated with the Belgian Imec and Sematech and turned to Carl Zeiss in Germany for its need of mirrors.[23]

In 2000, ASML acquired the Silicon Valley Group (SVG), a US lithography equipment manufacturer, in a bid to supply 193 nm scanners to Intel Corp.[24][25]

At the end of 2008, ASML experienced a large drop in sales, which led management to cut the workforce by about 1000 worldwide, mostly contract workers[26] and to apply for support from the Dutch national unemployment fund to prevent even larger layoffs.[27] Two and a half years later, ASML expected a record-high revenue.[28]

In July 2012, Intel announced a deal to invest $4.1 billion into ASML in exchange for 15% ownership, in order to speed up the transition from 300 mm to 450 mm wafers and further development of EUV lithography.[29][30] This deal was without exclusive rights to future ASML products and, as of July 2012, ASML was offering another 10% of the shares to other companies.[31] As part of their EUV strategy, ASML announced the acquisition of DUV and EUV sources manufacturer Cymer in October 2012.[32]

In November 2013, ASML paused development of 450 mm lithography equipment, citing uncertain timing of chipmaker demand.[33]

In 2015, ASML suffered intellectual property theft. A number of employees had been found stealing confidential data from its Silicon Valley software subsidiary that develops software for machine optimization.[34]

In June 2016, ASML announced their plans to acquire Taiwan-based Hermes Microvision Inc. for about $3.1 billion to add technology for creating smaller and more advanced semiconductors.[35]

In 2018, the Trump administration tried to block the sale of ASML technology to China,[36] but as of 2021, the 2020–present global chip shortage as well as the "technological cold war" between the US and China has been a business opportunity for ASML.[14]

In November 2020, ASML revealed that it had acquired the German optical glassmaking firm Berliner Glas Group in order to meet increasing need for components for its EUV systems.[37]

In July 2021, Thierry Breton European Commissioner, visited ASML and announced a goal of at least 20% of world production of semiconductors in Europe by 2030, and support via a European Alliance on semiconductors.[38] After reporting earnings in July 2021, the company said they had a near monopoly for machines used by TSMC and Samsung Electronics to make the advanced chips.[39]

In February 2023, ASML claimed that a former worker in China stole information about the company's technology. This wasn't the first time that ASML was allegedly linked with an intellectual property breach connected to China, and this latest breach came in the midst of the US-China trade war, which is also called "chip war".[40]

In March 2023, the Dutch government placed restrictions on chip exports in order to protect national security. This measure affected ASML as one of the most important companies in the global microchip supply chain.[41] Export license requirements come into effect in September 2023.[42]

Finances Edit

Financial data in € millions[1][43]
Year 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022
Revenue 5 245 5 856 6 287 6 795 9 053 10 944 11 820 13 979 18 611 21 173
Net Income 1 016 1 197 1 387 1 472 2 119 2 592 2 592 3 554 5 883 5 624
Assets 11 514 12 204 13 295 17 206 18 196 20 137 22 630 27 267 30 231 36 300
Employees 10 360 11 318 12 168 13 991 16 219 20 044 23 219 26 614 29 861 36 112

Shareholder Edit

Top Institutional Holders [44]
Holder Shares Date Reported % Out Value
Price (T. Rowe) Associates Inc 10,991,878 Jun 29, 2022 2.70% 5,152,552,838
Capital World Investors 6,492,254 Jun 29, 2022 1.60% 3,043,309,048
Fisher Asset Management, LLC 4,595,741 Jun 29, 2022 1.13% 2,154,299,596
Capital International Investors 3,919,239 Jun 29, 2022 0.96% 1,837,182,511
Morgan Stanley 3,156,574 Jun 29, 2022 0.78% 1,479,675,659
WCM Investment Management, LLC 3,089,503 Sep 29, 2022 0.76% 1,448,235,456
Edgewood Management Company 2,919,498 Jun 29, 2022 0.72% 1,368,543,910
State Farm Mutual Automobile Insurance Co 2,834,225 Jun 29, 2022 0.70% 1,328,571,338
FMR, LLC 2,763,695 Jun 29, 2022 0.68% 1,295,509,695
Sands Capital Management, LLC 1,942,740 Jun 29, 2022 0.48% 910,678,821
Top Mutual Fund Holders [45]
Holder Shares Date Reported % Out Value
American Balanced Fund 2,523,702 Sep 29, 2022 0.62% 1,183,010,574
Growth Fund Of America Inc 2,407,395 Sep 29, 2022 0.59% 1,128,490,503
iShares Core MSCI EAFE ETF 2,138,919 Sep 29, 2022 0.53% 1,002,639,691
Washington Mutual Investors Fund 2,138,040 Sep 29, 2022 0.53% 1,002,227,651
Advisors Inner Circle Fund-Edgewood Growth Fd 1,623,694 Jun 29, 2022 0.40% 761,122,815
Price (T.Rowe) Growth Stock Fund Inc. 1,627,216 Jun 29, 2022 0.40% 762,773,788
iShares MSCI Eafe ETF 1,319,874 Sep 29, 2022 0.32% 618,704,149
Invesco ETF Tr-Invesco QQQ Tr, Series 1 ETF 1,264,161 Sep 29, 2022 0.31% 592,588,122
New Perspective Fund Inc 1,217,878 Sep 29, 2022 0.30% 570,892,503
Investment Managers Ser Tr-WCM Focused International Growth Fd 1,197,952 Jul 30, 2022 0.29% 561,551,991

Awards Edit

  • The IEEE Spectrum Emerging Technology 2018 Award was given to ASML for its Extreme Ultraviolet Lithography system. ASML is currently the top supplier of photolithography systems to the semiconductor industry.[46]
  • In the category for Popular Prize, Vadim Banine received the 2018 European Inventor Award for shaping the future of microchip manufacturing.[47]
  • The largest independent microelectronics research center in Europe is called IMEC (Interuniversity Micro Electronics Centre). Martin van den Brink of ASML was given the 2019 IMEC Lifetime of Innovation Award.[48]
  • The Netherlands Association for Investor Relations (NEVIR), where listed companies and professionals in investor relations had the chance to be recognized for their outstanding work in the sector, presented awards to ASML in the categories: "Best Company in the field of Investor Relations" during the Dutch IR Awards 2019 annual award ceremony.[49]
  • ASML received the SEMI Americas Award at the 2020 edition of the microelectronics conference SEMICON West for its collaborative approach to extreme ultraviolet lithography (EUV), which helped it become commercially viable and opened the door to new technological possibilities.[50]
  • The Intel Preferred Quality Supplier (PQS) Award for 2020 was awarded to ASML. ASML has attained a level of performance that continuously surpasses Intel's expectations for the commitment to continual quality improvement.[51]
  • The Dutch Innovation Prize 2021 was awarded to ASML at the 4th National BID AVROTROS Innovation Dinner held at Kasteel Wittenburg in Wassenaar.[52]
  • ASML received the first CoSta Award for the most successful and impactful innovative partnership between a corporate company and a startup.[53]

References Edit

  1. ^ a b c d e f g "ASML Financial statements US GAAP" (PDF). asml.com. (PDF) from the original on 25 January 2023. Retrieved 25 January 2023.
  2. ^ a b c d Tarasov, Katie (23 March 2022). "ASML is the only company making the $200 million machines needed to print every advanced microchip. Here's an inside look". CNBC. from the original on 14 November 2022. Retrieved 30 March 2023.
  3. ^ a b "Largest tech companies by market cap". CompaniesMarketcap.com. 9 April 2023. from the original on 9 November 2022. Retrieved 9 April 2023.
  4. ^ O'Grady, Carmel; Kenyon, Matthew (21 February 2023). "How ASML became Europe's most valuable tech firm". BBC News.
  5. ^ ASML: Sustainability - performance and targets 14 August 2018 at the Wayback Machine. ASML.com (2011)
  6. ^ "Burn Lin: The 2023 SPIE Mozi Award". spie.org. from the original on 6 May 2023. Retrieved 6 May 2023.
  7. ^ "90 nm Technology". TSMC. 2019. from the original on 26 June 2019. Retrieved 30 June 2019.
  8. ^ ASML Enhances NXT:1950i to Meet Challenging Imaging and Overlay Requirements and Provide a Cost Effective Platform for 22nm 5 April 2012 at the Wayback Machine. Press release, 12 July 2011.
  9. ^ a b Third quarter 2011 results 5 April 2012 at the Wayback Machine. ASML press release.
  10. ^ "ASML EUV lithography systems". www.asml.com. Retrieved 4 July 2023.
  11. ^ IMEC presents functional 22 nm SRAM cells fabricated using EUV technology 1 June 2010 at the Wayback Machine. IMEC press release, 22 April 2009.
  12. ^ a b c "ASML EUV lithography systems". ASML. from the original on 31 March 2023. Retrieved 30 March 2023.
  13. ^ Patel, Nilay (31 January 2023). "The global battle over chip manufacturing and why the US is trying to stop China from buying machines from the Netherlands". The Verge. from the original on 31 March 2023. Retrieved 30 March 2023.
  14. ^ a b Christoph G. Schmutz (30 July 2021). "Wie die niederländische Firma ASML in den technologischen kalten Krieg zwischen den USA und China geraten ist".
  15. ^ For example, U. S. Patents 7618250, 7692771 and U. S. Patent Applications 20070018360, 20100193994.
  16. ^ a b c "2022 Combined Annual Report - ASML". ASML. 15 February 2023. from the original on 9 April 2023. Retrieved 9 April 2023.
  17. ^ "ASML HOLDING - Euronext exchange Live quotes". Euronext. from the original on 9 April 2023. Retrieved 9 April 2023.
  18. ^ "Quotes For Nasdaq-100 Index". Nasdaq. from the original on 25 December 2021. Retrieved 9 April 2023.
  19. ^ "About ASML: Questions and Answers". ASML Holding. from the original on 28 July 2010. Retrieved 3 August 2010.
  20. ^ "ASML: About ASML". 20 February 2014. from the original on 28 July 2010. Retrieved 27 December 2022.
  21. ^ a b Cuofano, Gennaro (11 October 2022). "How Does ASML Make Money? The ASML Business Model In A Nutshell". FourWeekMBA. from the original on 31 March 2023. Retrieved 30 March 2023.
  22. ^ "Three decades of PAS 5500". ASML. from the original on 31 March 2023. Retrieved 30 March 2023.
  23. ^ Clark, Don (4 July 2021). "The Tech Cold War's 'Most Complicated Machine' That's Out of China's Reach". The New York Times. ISSN 0362-4331. from the original on 16 January 2023. Retrieved 9 November 2022.
  24. ^ "ASML drops SVG-developed 193-nm scanner to focus on Twinscan platform | EE Times". from the original on 7 September 2014. Retrieved 7 September 2014.
  25. ^ "Intel kills plan to use SVG's 193-nm scanners in production due to delays | EE Times". from the original on 7 September 2014. Retrieved 7 September 2014.
  26. ^ ASML Takes Action to Adjust Its Organization Due to Severe Order Slowdown 15 March 2010 at the Wayback Machine Press release.
  27. ^ Chip-Equipment Firm ASML Cuts Outlook, Sets Layoffs 25 May 2018 at the Wayback Machine. WSJ.com, 19 December 2008.
  28. ^ ASML Sees Record Year 25 May 2018 at the Wayback Machine. The Wall Street Journal, April 2011.
  29. ^ ASML wins funds for chip technology from Intel 1 November 2021 at the Wayback Machine. Reuters, 10 July 2012.
  30. ^ ASML Announces Customer Co-Investment Program Aimed at Accelerating Innovation 12 July 2012 at the Wayback Machine. ASML Press release, 9 July 2012.
  31. ^ "Intel funds next-gen chipmaking, buys into ASML for $4.1 billion". Reuters. 9 July 2012. from the original on 31 July 2020. Retrieved 5 July 2021.
  32. ^ [1] 8 March 2014 at the Wayback Machine ASML Press release, 17 October 2012
  33. ^ "ASML 2013 Annual Report Form (20-F)" (XBRL). United States Securities and Exchange Commission. 11 February 2014. from the original on 24 September 2015. Retrieved 27 August 2017. In November 2013, following our customers' decision, ASML decided to pause the development of 450 mm lithography systems until customer demand and the timing related to such demand is clear.
  34. ^ Deutsch, Toby Sterling, Anthony (11 April 2019). "ASML says it suffered intellectual property theft, rejects 'Chinese' label". Reuters. from the original on 10 May 2021. Retrieved 26 March 2021.{{cite news}}: CS1 maint: multiple names: authors list (link)
  35. ^ Ian King, Bloomberg. "ASML to Acquire Taiwan's Hermes Microvision for $3.1 Billion 4 September 2016 at the Wayback Machine." 15 June 2016. 16 June 2016.
  36. ^ "Trump administration pressed Dutch hard to cancel China chip-equipment sale: sources". Reuters. 6 January 2020. from the original on 9 November 2022. Retrieved 9 November 2022.
  37. ^ "ASML acquisition of Berliner Glas Group completed". www.asml.com. from the original on 5 March 2021. Retrieved 26 March 2021.
  38. ^ Thierry Breton (21 May 2021). "Inside the future: Europe's plan to thrive in the global microchip race". European Commission website.
  39. ^ "ASML shares fall on report US wants to restrict sales to China". Reuters. 6 July 2022. from the original on 14 March 2023. Retrieved 14 March 2023.
  40. ^ "US-China chip war: ASML says China employee stole data". BBC News. 16 February 2023. from the original on 16 February 2023. Retrieved 16 February 2023.
  41. ^ "US-China chip war: Netherlands moves to restrict some exports". BBC News. 9 March 2023. from the original on 9 March 2023. Retrieved 9 March 2023.
  42. ^ Corder, Mike (30 June 2023). . AP News. Archived from the original on 30 June 2023. Retrieved 30 June 2023.
  43. ^ "ASML Dividende | KGV | Bilanz | Umsatz | Gewinn". boerse.de (in German). from the original on 26 March 2022. Retrieved 26 March 2022.
  44. ^ "Retrieved 6 November 2022". from the original on 10 October 2022. Retrieved 6 November 2022.
  45. ^ "Retrieved 6 November 2022". from the original on 10 October 2022. Retrieved 6 November 2022.
  46. ^ "IEEE Spectrum Emerging Technology Award ASML 2018 IEEE honors ceremony". ieeetv.ieee.org. 30 May 2018. from the original on 13 November 2022. Retrieved 6 November 2022.
  47. ^ "European Inventor Award 2018". zeiss.com. from the original on 13 November 2022. Retrieved 6 November 2022.
  48. ^ "ASML Man wins top award". eindhovennews.com. 15 May 2019. from the original on 13 November 2022. Retrieved 3 November 2022.
  49. ^ "ASML, BESI, Basic Fit winners Dutch IR Awards 2019". cffcommunications.nl. 11 January 2019. from the original on 13 November 2022. Retrieved 3 November 2022.
  50. ^ "ASML wins semi-Americas Award for EUV". asml.com. from the original on 30 October 2022. Retrieved 30 October 2022.
  51. ^ "ASML earns Intel's 2020 Preferred Quality Supplier PQS Award". marketscreener.com. from the original on 30 October 2022. Retrieved 30 October 2022.
  52. ^ "ASML Wins Dutch Innovation Prize". siliconcanals.com. 27 October 2021. from the original on 30 October 2022. Retrieved 30 October 2022.
  53. ^ "ASML and Incooling Win CoSta Award". incooling.com. from the original on 13 November 2022. Retrieved 12 November 2022.

External links Edit

  • Official website
  • "The Silicon Age: Trends in Semiconductor Devices Industry", 2022
  • Business data for ASML Holding N.V.:
    • Bloomberg
    • Google
    • Reuters
    • SEC filings
    • Yahoo!

asml, holding, commonly, shortened, asml, originally, standing, advanced, semiconductor, materials, lithography, dutch, multinational, corporation, founded, 1984, asml, specializes, development, manufacturing, photolithography, machines, which, used, produce, . ASML Holding N V commonly shortened to ASML originally standing for Advanced Semiconductor Materials Lithography is a Dutch multinational corporation founded in 1984 ASML specializes in the development and manufacturing of photolithography machines which are used to produce computer chips ASML Holding N V Corporate headquarters in VeldhovenTypeNaamloze vennootschapTraded asEuronext Amsterdam ASMLAEX componentNasdaq ASMLNasdaq 100 componentIndustrySemiconductor industryFounded1984 39 years ago 1984 HeadquartersVeldhoven NetherlandsKey peoplePeter Wennink CEO Gerard Kleisterlee Chairman of the supervisory board ProductsPhotolithography systems for the semiconductor industryRevenue 21 17 billion 2022 1 Net income 5 62 billion 2022 1 Total assets 36 30 billion 2022 1 Total equity 8 81 billion 2022 1 Number of employees39 086 December 2022 1 Websitewww wbr asml wbr comFootnotes references gt 16 000 patents 2023 As of 2023 update it is the largest supplier for the semiconductor industry and the sole supplier in the world of extreme ultraviolet lithography EUV photolithography machines that are required to manufacture the most advanced chips 2 As of June 2023 update ASML was the most highly valued European tech company with a market capitalization of about US 280 billion 3 4 Contents 1 Products 1 1 Immersion lithography 1 2 DUV lithography 1 3 EUV lithography 1 4 Nanoimprint lithography 2 Company 2 1 History 3 Finances 3 1 Shareholder 4 Awards 5 References 6 External linksProducts Edit nbsp A diagonally cut ASML lensASML produces the photolithography machines used in the production of computer chips In these machines patterns are optically imaged onto a silicon wafer that is covered with a film of light sensitive material photoresist This procedure is repeated dozens of times on a single wafer The photoresist is then further processed to create the actual electronic circuits on the silicon The optical imaging that ASML s machines deal with is used in the fabrication of nearly all integrated circuits and as of 2011 ASML had 67 percent of the worldwide sales of lithography machines 5 ASML s competition consisted of Ultratech Canon and Nikon MKS Instruments Lam Research and Cadence Design Systems citation needed Immersion lithography Edit Since immersion lithography was first proposed by Burn Jeng Lin in the 1970s 6 ASML cooperated with Taiwan Semiconductor Manufacturing TSMC In 2004 TSMC began commercial production of 90 nanometer semiconductor nodes using ASML immersion lithography 7 As of 2011 their high end TWINSCAN NXT 1950i system was used for producing features down to 32 nanometres at up to 200 wafers per hour 8 using a water immersion lens and an argon fluoride laser that produces light at a wavelength of 193 nm As of 2011 update an average lithography machine cost 27 million 9 DUV lithography Edit Deep ultraviolet DUV lithography devices from ASML use light that penetrates the UV spectrum to print the tiny features that form the microchip s structure 10 In 2009 the IMEC research center in Belgium produced the world s first functional 22 nm CMOS Static random access memory memory cells with a prototype EUV lithography machine 11 In 2011 series produced non prototype EUV machines were shipped 9 EUV lithography Edit After decades of development ASML shipped the first production extreme ultraviolet lithography machine in 2013 12 These machines produce light in the 13 5 nm wavelength range when a high energy laser is focused on microscopic droplets of molten tin to produce a plasma which then emits EUV light The light is bounced off Zeiss mirrors that are the flattest in the world onto the surface of a silicon wafer to deliver the designs for the chip 13 ASML s best selling EUV product has been the Twinscan NXE 3600D which costs up to 200 million 2 Shipping the machine requires 40 shipping containers 20 trucks and three Boeing 747s 14 As of 2022 update ASML has shipped around 140 EUV systems and it is the only company to manufacture them 2 ASML is working on the next generation of EUV systems with the first shipments to customers for R amp D purposes expected to take place at the end of 2023 12 The platform is designated High NA as it will increase the numerical aperture NA from 0 33 to 0 55 12 and each system is expected to cost 300 million 2 Nanoimprint lithography Edit In addition to immersion based lithography and EUV lithography ASML has a substantial intellectual property portfolio covering imprint lithography 15 Company EditASML s corporate headquarters is in Veldhoven Netherlands and the location for research development manufacturing and assembly ASML employs more than 39 000 people 1 from 143 nationalities and relies on a network of nearly 5 000 tier 1 suppliers 16 ASML has a worldwide customer base and over sixty service points in sixteen countries 16 It has offices in the Netherlands the United States Belgium France Germany Ireland Israel Italy the United Kingdom China Hong Kong Japan South Korea Malaysia Singapore and Taiwan 16 The company is listed on both the AEX and NASDAQ Stock Exchanges as ASML It is also a component of the Euro Stoxx 50 17 and NASDAQ 100 18 As of 2023 update ASML was the most highly valued European tech company with a market capitalization of about US 270 billion 3 History Edit The company originally named ASM Lithography is named ASML as its official name and not an abbreviation 19 It was founded in 1984 as a joint venture between the Dutch companies ASM and Philips Nowadays it is a public company When the company became independent in 1988 it was decided that changing the name was not desirable and the abbreviation ASML became the official company name 20 ASML released the lithography system PAS 5500 in 1991 which became an extremely successful platform for the company 21 The PAS 5500 was first utilized by Micron Technology which was one of the world s largest producers of computer memory and storage and ASML s largest customer at that time 22 The success of the PAS 5500 line propelled ASML into strong competition with Canon and Nikon who were the leaders in that era of the lithography market 21 In 1997 ASML began studying a shift to using extreme ultraviolet and in 1999 joined a research consortium including Intel two other U S chipmakers as well as the US Department of Energy It collaborated with the Belgian Imec and Sematech and turned to Carl Zeiss in Germany for its need of mirrors 23 In 2000 ASML acquired the Silicon Valley Group SVG a US lithography equipment manufacturer in a bid to supply 193 nm scanners to Intel Corp 24 25 At the end of 2008 ASML experienced a large drop in sales which led management to cut the workforce by about 1000 worldwide mostly contract workers 26 and to apply for support from the Dutch national unemployment fund to prevent even larger layoffs 27 Two and a half years later ASML expected a record high revenue 28 In July 2012 Intel announced a deal to invest 4 1 billion into ASML in exchange for 15 ownership in order to speed up the transition from 300 mm to 450 mm wafers and further development of EUV lithography 29 30 This deal was without exclusive rights to future ASML products and as of July 2012 ASML was offering another 10 of the shares to other companies 31 As part of their EUV strategy ASML announced the acquisition of DUV and EUV sources manufacturer Cymer in October 2012 32 In November 2013 ASML paused development of 450 mm lithography equipment citing uncertain timing of chipmaker demand 33 In 2015 ASML suffered intellectual property theft A number of employees had been found stealing confidential data from its Silicon Valley software subsidiary that develops software for machine optimization 34 In June 2016 ASML announced their plans to acquire Taiwan based Hermes Microvision Inc for about 3 1 billion to add technology for creating smaller and more advanced semiconductors 35 In 2018 the Trump administration tried to block the sale of ASML technology to China 36 but as of 2021 the 2020 present global chip shortage as well as the technological cold war between the US and China has been a business opportunity for ASML 14 In November 2020 ASML revealed that it had acquired the German optical glassmaking firm Berliner Glas Group in order to meet increasing need for components for its EUV systems 37 In July 2021 Thierry Breton European Commissioner visited ASML and announced a goal of at least 20 of world production of semiconductors in Europe by 2030 and support via a European Alliance on semiconductors 38 After reporting earnings in July 2021 the company said they had a near monopoly for machines used by TSMC and Samsung Electronics to make the advanced chips 39 In February 2023 ASML claimed that a former worker in China stole information about the company s technology This wasn t the first time that ASML was allegedly linked with an intellectual property breach connected to China and this latest breach came in the midst of the US China trade war which is also called chip war 40 In March 2023 the Dutch government placed restrictions on chip exports in order to protect national security This measure affected ASML as one of the most important companies in the global microchip supply chain 41 Export license requirements come into effect in September 2023 42 Finances EditFinancial data in millions 1 43 Year 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022Revenue 5 245 5 856 6 287 6 795 9 053 10 944 11 820 13 979 18 611 21 173Net Income 1 016 1 197 1 387 1 472 2 119 2 592 2 592 3 554 5 883 5 624Assets 11 514 12 204 13 295 17 206 18 196 20 137 22 630 27 267 30 231 36 300Employees 10 360 11 318 12 168 13 991 16 219 20 044 23 219 26 614 29 861 36 112Shareholder Edit Top Institutional Holders 44 Holder Shares Date Reported Out ValuePrice T Rowe Associates Inc 10 991 878 Jun 29 2022 2 70 5 152 552 838Capital World Investors 6 492 254 Jun 29 2022 1 60 3 043 309 048Fisher Asset Management LLC 4 595 741 Jun 29 2022 1 13 2 154 299 596Capital International Investors 3 919 239 Jun 29 2022 0 96 1 837 182 511Morgan Stanley 3 156 574 Jun 29 2022 0 78 1 479 675 659WCM Investment Management LLC 3 089 503 Sep 29 2022 0 76 1 448 235 456Edgewood Management Company 2 919 498 Jun 29 2022 0 72 1 368 543 910State Farm Mutual Automobile Insurance Co 2 834 225 Jun 29 2022 0 70 1 328 571 338FMR LLC 2 763 695 Jun 29 2022 0 68 1 295 509 695Sands Capital Management LLC 1 942 740 Jun 29 2022 0 48 910 678 821Top Mutual Fund Holders 45 Holder Shares Date Reported Out ValueAmerican Balanced Fund 2 523 702 Sep 29 2022 0 62 1 183 010 574Growth Fund Of America Inc 2 407 395 Sep 29 2022 0 59 1 128 490 503iShares Core MSCI EAFE ETF 2 138 919 Sep 29 2022 0 53 1 002 639 691Washington Mutual Investors Fund 2 138 040 Sep 29 2022 0 53 1 002 227 651Advisors Inner Circle Fund Edgewood Growth Fd 1 623 694 Jun 29 2022 0 40 761 122 815Price T Rowe Growth Stock Fund Inc 1 627 216 Jun 29 2022 0 40 762 773 788iShares MSCI Eafe ETF 1 319 874 Sep 29 2022 0 32 618 704 149Invesco ETF Tr Invesco QQQ Tr Series 1 ETF 1 264 161 Sep 29 2022 0 31 592 588 122New Perspective Fund Inc 1 217 878 Sep 29 2022 0 30 570 892 503Investment Managers Ser Tr WCM Focused International Growth Fd 1 197 952 Jul 30 2022 0 29 561 551 991Awards EditThe IEEE Spectrum Emerging Technology 2018 Award was given to ASML for its Extreme Ultraviolet Lithography system ASML is currently the top supplier of photolithography systems to the semiconductor industry 46 In the category for Popular Prize Vadim Banine received the 2018 European Inventor Award for shaping the future of microchip manufacturing 47 The largest independent microelectronics research center in Europe is called IMEC Interuniversity Micro Electronics Centre Martin van den Brink of ASML was given the 2019 IMEC Lifetime of Innovation Award 48 The Netherlands Association for Investor Relations NEVIR where listed companies and professionals in investor relations had the chance to be recognized for their outstanding work in the sector presented awards to ASML in the categories Best Company in the field of Investor Relations during the Dutch IR Awards 2019 annual award ceremony 49 ASML received the SEMI Americas Award at the 2020 edition of the microelectronics conference SEMICON West for its collaborative approach to extreme ultraviolet lithography EUV which helped it become commercially viable and opened the door to new technological possibilities 50 The Intel Preferred Quality Supplier PQS Award for 2020 was awarded to ASML ASML has attained a level of performance that continuously surpasses Intel s expectations for the commitment to continual quality improvement 51 The Dutch Innovation Prize 2021 was awarded to ASML at the 4th National BID AVROTROS Innovation Dinner held at Kasteel Wittenburg in Wassenaar 52 ASML received the first CoSta Award for the most successful and impactful innovative partnership between a corporate company and a startup 53 References Edit a b c d e f g ASML Financial statements US GAAP PDF asml com Archived PDF from the original on 25 January 2023 Retrieved 25 January 2023 a b c d Tarasov Katie 23 March 2022 ASML is the only company making the 200 million machines needed to print every advanced microchip Here s an inside look CNBC Archived from the original on 14 November 2022 Retrieved 30 March 2023 a b Largest tech companies by market cap CompaniesMarketcap com 9 April 2023 Archived from the original on 9 November 2022 Retrieved 9 April 2023 O Grady Carmel Kenyon Matthew 21 February 2023 How ASML became Europe s most valuable tech firm BBC News ASML Sustainability performance and targets Archived 14 August 2018 at the Wayback Machine ASML com 2011 Burn Lin The 2023 SPIE Mozi Award spie org Archived from the original on 6 May 2023 Retrieved 6 May 2023 90 nm Technology TSMC 2019 Archived from the original on 26 June 2019 Retrieved 30 June 2019 ASML Enhances NXT 1950i to Meet Challenging Imaging and Overlay Requirements and Provide a Cost Effective Platform for 22nm Archived 5 April 2012 at the Wayback Machine Press release 12 July 2011 a b Third quarter 2011 results Archived 5 April 2012 at the Wayback Machine ASML press release ASML EUV lithography systems www asml com Retrieved 4 July 2023 IMEC presents functional 22 nm SRAM cells fabricated using EUV technology Archived 1 June 2010 at the Wayback Machine IMEC press release 22 April 2009 a b c ASML EUV lithography systems ASML Archived from the original on 31 March 2023 Retrieved 30 March 2023 Patel Nilay 31 January 2023 The global battle over chip manufacturing and why the US is trying to stop China from buying machines from the Netherlands The Verge Archived from the original on 31 March 2023 Retrieved 30 March 2023 a b Christoph G Schmutz 30 July 2021 Wie die niederlandische Firma ASML in den technologischen kalten Krieg zwischen den USA und China geraten ist For example U S Patents 7618250 7692771 and U S Patent Applications 20070018360 20100193994 a b c 2022 Combined Annual Report ASML ASML 15 February 2023 Archived from the original on 9 April 2023 Retrieved 9 April 2023 ASML HOLDING Euronext exchange Live quotes Euronext Archived from the original on 9 April 2023 Retrieved 9 April 2023 Quotes For Nasdaq 100 Index Nasdaq Archived from the original on 25 December 2021 Retrieved 9 April 2023 About ASML Questions and Answers ASML Holding Archived from the original on 28 July 2010 Retrieved 3 August 2010 ASML About ASML 20 February 2014 Archived from the original on 28 July 2010 Retrieved 27 December 2022 a b Cuofano Gennaro 11 October 2022 How Does ASML Make Money The ASML Business Model In A Nutshell FourWeekMBA Archived from the original on 31 March 2023 Retrieved 30 March 2023 Three decades of PAS 5500 ASML Archived from the original on 31 March 2023 Retrieved 30 March 2023 Clark Don 4 July 2021 The Tech Cold War s Most Complicated Machine That s Out of China s Reach The New York Times ISSN 0362 4331 Archived from the original on 16 January 2023 Retrieved 9 November 2022 ASML drops SVG developed 193 nm scanner to focus on Twinscan platform EE Times Archived from the original on 7 September 2014 Retrieved 7 September 2014 Intel kills plan to use SVG s 193 nm scanners in production due to delays EE Times Archived from the original on 7 September 2014 Retrieved 7 September 2014 ASML Takes Action to Adjust Its Organization Due to Severe Order Slowdown Archived 15 March 2010 at the Wayback Machine Press release Chip Equipment Firm ASML Cuts Outlook Sets Layoffs Archived 25 May 2018 at the Wayback Machine WSJ com 19 December 2008 ASML Sees Record Year Archived 25 May 2018 at the Wayback Machine The Wall Street Journal April 2011 ASML wins funds for chip technology from Intel Archived 1 November 2021 at the Wayback Machine Reuters 10 July 2012 ASML Announces Customer Co Investment Program Aimed at Accelerating Innovation Archived 12 July 2012 at the Wayback Machine ASML Press release 9 July 2012 Intel funds next gen chipmaking buys into ASML for 4 1 billion Reuters 9 July 2012 Archived from the original on 31 July 2020 Retrieved 5 July 2021 1 Archived 8 March 2014 at the Wayback Machine ASML Press release 17 October 2012 ASML 2013 Annual Report Form 20 F XBRL United States Securities and Exchange Commission 11 February 2014 Archived from the original on 24 September 2015 Retrieved 27 August 2017 In November 2013 following our customers decision ASML decided to pause the development of 450 mm lithography systems until customer demand and the timing related to such demand is clear Deutsch Toby Sterling Anthony 11 April 2019 ASML says it suffered intellectual property theft rejects Chinese label Reuters Archived from the original on 10 May 2021 Retrieved 26 March 2021 a href Template Cite news html title Template Cite news cite news a CS1 maint multiple names authors list link Ian King Bloomberg ASML to Acquire Taiwan s Hermes Microvision for 3 1 Billion Archived 4 September 2016 at the Wayback Machine 15 June 2016 16 June 2016 Trump administration pressed Dutch hard to cancel China chip equipment sale sources Reuters 6 January 2020 Archived from the original on 9 November 2022 Retrieved 9 November 2022 ASML acquisition of Berliner Glas Group completed www asml com Archived from the original on 5 March 2021 Retrieved 26 March 2021 Thierry Breton 21 May 2021 Inside the future Europe s plan to thrive in the global microchip race European Commission website ASML shares fall on report US wants to restrict sales to China Reuters 6 July 2022 Archived from the original on 14 March 2023 Retrieved 14 March 2023 US China chip war ASML says China employee stole data BBC News 16 February 2023 Archived from the original on 16 February 2023 Retrieved 16 February 2023 US China chip war Netherlands moves to restrict some exports BBC News 9 March 2023 Archived from the original on 9 March 2023 Retrieved 9 March 2023 Corder Mike 30 June 2023 Dutch semiconductor machine export restrictions to come into force in September AP News Archived from the original on 30 June 2023 Retrieved 30 June 2023 ASML Dividende KGV Bilanz Umsatz Gewinn boerse de in German Archived from the original on 26 March 2022 Retrieved 26 March 2022 Retrieved 6 November 2022 Archived from the original on 10 October 2022 Retrieved 6 November 2022 Retrieved 6 November 2022 Archived from the original on 10 October 2022 Retrieved 6 November 2022 IEEE Spectrum Emerging Technology Award ASML 2018 IEEE honors ceremony ieeetv ieee org 30 May 2018 Archived from the original on 13 November 2022 Retrieved 6 November 2022 European Inventor Award 2018 zeiss com Archived from the original on 13 November 2022 Retrieved 6 November 2022 ASML Man wins top award eindhovennews com 15 May 2019 Archived from the original on 13 November 2022 Retrieved 3 November 2022 ASML BESI Basic Fit winners Dutch IR Awards 2019 cffcommunications nl 11 January 2019 Archived from the original on 13 November 2022 Retrieved 3 November 2022 ASML wins semi Americas Award for EUV asml com Archived from the original on 30 October 2022 Retrieved 30 October 2022 ASML earns Intel s 2020 Preferred Quality Supplier PQS Award marketscreener com Archived from the original on 30 October 2022 Retrieved 30 October 2022 ASML Wins Dutch Innovation Prize siliconcanals com 27 October 2021 Archived from the original on 30 October 2022 Retrieved 30 October 2022 ASML and Incooling Win CoSta Award incooling com Archived from the original on 13 November 2022 Retrieved 12 November 2022 External links Edit nbsp Companies portalOfficial website The Silicon Age Trends in Semiconductor Devices Industry 2022 Business data for ASML Holding N V BloombergGoogleReutersSEC filingsYahoo Retrieved from https en wikipedia org w index php title ASML Holding amp oldid 1179961922, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.