fbpx
Wikipedia

ARM Cortex-A55

The ARM Cortex-A55 is a central processing unit implementing the ARMv8.2-A 64-bit instruction set designed by ARM Holdings' Cambridge design centre. The Cortex-A55 is a 2-wide decode in-order superscalar pipeline.[2]

ARM Cortex-A55
General information
Launched2017
Designed byARM Holdings
Performance
Max. CPU clock rate1.25 GHz[1]  to 1.6 GHz[1] 
Cache
L1 cache32–128 KB (16–64 KB I-cache with parity, 16–64 KB D-cache) per core
L2 cache64–256 KB
L3 cache512 KB – 4 MB
Architecture and classification
ApplicationMobile
MicroarchitectureARMv8.2-A
Physical specifications
Cores
  • 1–8 per cluster, multiple clusters
Products, models, variants
Product code name(s)
  • Ananke
History
PredecessorARM Cortex-A53
SuccessorARM Cortex-A510

Design

The Cortex-A55 serves as the successor of the ARM Cortex-A53, designed to improve performance and energy efficiency over the A53.[3] ARM has stated the A55 should have 15% improved power efficiency and 18% increased performance relative to the A53. Memory access and branch prediction are also improved relative to the A53.

The Cortex-A75 and Cortex-A55 cores are the first products to support ARM's DynamIQ technology.[4][5] The successor to big.LITTLE, this technology is designed to be more flexible and scalable when designing multi-core products.

Licensing

The Cortex-A55 is available as SIP core to licensees, and its design makes it suitable for integration with other SIP cores (e.g. GPU, display controller, DSP, image processor, etc.) into one die constituting a system on a chip (SoC).

ARM has also collaborated with Qualcomm for a semi-custom version of the Cortex-A55, used within the Kryo 385 CPU core.[6] This semi-custom core is also used in some Qualcomm's mid-range SoCs as Kryo 360 Silver and Kryo 460 Silver.

Usage

The Cortex-A55 is used as Little-core in Intel Agilex D-series SoC FPGA devices.[7]

References

  1. ^ a b Mike Demler (July 3, 2018). SC9863 Is First Cortex-A55 Octa-Core (Report). The Linley Group. Retrieved February 16, 2022.
  2. ^ "Cortex-A55". Cortex-A55. ARM Holdings. Retrieved 10 July 2017.
  3. ^ Triggs, Robert (31 May 2017). "A closer look at ARM's new Cortex-A75 and Cortex-A55 CPUs". Android Authority. Retrieved 10 July 2017.
  4. ^ Humrick, Matt (29 May 2017). "Exploring Dynamiq and ARM's New CPUs". Anandtech. Retrieved 10 July 2017.
  5. ^ Savov, Vlad (29 May 2017). "ARM's new processors are designed to power the machine-learning machines". The Verge. Retrieved 10 July 2017.
  6. ^ Frumusanu, Andrei (6 December 2017). "Qualcomm Announces Snapdragon 845 Mobile Platform". Anandtech. Retrieved 7 December 2017.
  7. ^ Mark van der Zalm. "Intel® Agilex™ D-Series FPGA White Paper". Intel. Retrieved 2022-10-20.

cortex, central, processing, unit, implementing, armv8, instruction, designed, holdings, cambridge, design, centre, cortex, wide, decode, order, superscalar, pipeline, general, informationlaunched2017designed, byarm, holdingsperformancemax, clock, rate1, cache. The ARM Cortex A55 is a central processing unit implementing the ARMv8 2 A 64 bit instruction set designed by ARM Holdings Cambridge design centre The Cortex A55 is a 2 wide decode in order superscalar pipeline 2 ARM Cortex A55General informationLaunched2017Designed byARM HoldingsPerformanceMax CPU clock rate1 25 GHz 1 to 1 6 GHz 1 CacheL1 cache32 128 KB 16 64 KB I cache with parity 16 64 KB D cache per coreL2 cache64 256 KBL3 cache512 KB 4 MBArchitecture and classificationApplicationMobileMicroarchitectureARMv8 2 APhysical specificationsCores1 8 per cluster multiple clustersProducts models variantsProduct code name s AnankeHistoryPredecessorARM Cortex A53SuccessorARM Cortex A510 Contents 1 Design 2 Licensing 3 Usage 4 ReferencesDesign EditThe Cortex A55 serves as the successor of the ARM Cortex A53 designed to improve performance and energy efficiency over the A53 3 ARM has stated the A55 should have 15 improved power efficiency and 18 increased performance relative to the A53 Memory access and branch prediction are also improved relative to the A53 The Cortex A75 and Cortex A55 cores are the first products to support ARM s DynamIQ technology 4 5 The successor to big LITTLE this technology is designed to be more flexible and scalable when designing multi core products Licensing EditThe Cortex A55 is available as SIP core to licensees and its design makes it suitable for integration with other SIP cores e g GPU display controller DSP image processor etc into one die constituting a system on a chip SoC ARM has also collaborated with Qualcomm for a semi custom version of the Cortex A55 used within the Kryo 385 CPU core 6 This semi custom core is also used in some Qualcomm s mid range SoCs as Kryo 360 Silver and Kryo 460 Silver Usage EditThe Cortex A55 is used as Little core in Intel Agilex D series SoC FPGA devices 7 References Edit a b Mike Demler July 3 2018 SC9863 Is First Cortex A55 Octa Core Report The Linley Group Retrieved February 16 2022 Cortex A55 Cortex A55 ARM Holdings Retrieved 10 July 2017 Triggs Robert 31 May 2017 A closer look at ARM s new Cortex A75 and Cortex A55 CPUs Android Authority Retrieved 10 July 2017 Humrick Matt 29 May 2017 Exploring Dynamiq and ARM s New CPUs Anandtech Retrieved 10 July 2017 Savov Vlad 29 May 2017 ARM s new processors are designed to power the machine learning machines The Verge Retrieved 10 July 2017 Frumusanu Andrei 6 December 2017 Qualcomm Announces Snapdragon 845 Mobile Platform Anandtech Retrieved 7 December 2017 Mark van der Zalm Intel Agilex D Series FPGA White Paper Intel Retrieved 2022 10 20 Retrieved from https en wikipedia org w index php title ARM Cortex A55 amp oldid 1131642397, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.