fbpx
Wikipedia

ARM Cortex-A76

The ARM Cortex-A76 is a central processing unit implementing the ARMv8.2-A 64-bit instruction set designed by ARM Holdings' Austin design centre. ARM states a 25% and 35% increase in integer and floating point performance, respectively, over a Cortex-A75 of the previous generation.[2]

ARM Cortex-A76
General information
Launched2018[1]
Designed byARM Holdings
Performance
Max. CPU clock rateto 3.0 GHz in phones and 3.3 GHz in tablets/laptops 
FSB speeds100  to 104 
Address width40-bit
Cache
L1 cache128 KiB (64 KiB I-cache with parity, 64 KiB D-cache) per core
L2 cache128–512 KiB per core
L3 cache512 KiB–4 MiB (optional)
Architecture and classification
MicroarchitectureARM Cortex-A76
Instruction setARMv8-A: A64, A32, and T32 (at the EL0 only)
Extensions
Physical specifications
Cores
  • 1–4 per cluster
Co-processorARM Cortex-A55 (optional)
Products, models, variants
Product code name(s)
  • Enyo
Variant(s)
History
Predecessor(s)ARM Cortex-A75
ARM Cortex-A73
ARM Cortex-A72
Successor(s)ARM Cortex-A77

Design edit

The Cortex-A76 serves as the successor of the ARM Cortex-A73 and ARM Cortex-A75, though based on a clean sheet design.

The Cortex-A76 frontend is a 4-wide decode out-of-order superscalar design. It can fetch 4 instructions per cycle. And[clarification needed] rename and dispatch 4 Mops, and 8 µops per cycle. The out-of-order window size is 128 entries. The backend is 8 execution ports[clarification needed] with a pipeline depth of 13 stages and the execution latencies of 11 stages.[2][3]

The core supports unprivileged 32-bit applications, but privileged applications must utilize the 64-bit ARMv8-A ISA.[4] It also supports Load acquire (LDAPR) instructions (ARMv8.3-A), Dot Product instructions (ARMv8.4-A), PSTATE Speculative Store Bypass Safe (SSBS) bit and the speculation barriers (CSDB, SSBB, PSSBB) instructions (ARMv8.5-A).[5]

Memory bandwidth increased 90% relative to the A75.[6][7] According to ARM, the A76 is expected to offer twice the performance of an A73 and is targeted beyond mobile workloads. The performance is targeted at "laptop class", including Windows 10 devices,[8] competitive with Intel's Kaby Lake.[9]

The Cortex-A76 support ARM's DynamIQ technology, expected to be used as high-performance cores when used in combination with Cortex-A55 power-efficient cores.[2]

Licensing edit

The Cortex-A76 is available as a SIP core to licensees, and its design makes it suitable for integration with other SIP cores (e.g. GPU, display controller, DSP, image processor, etc.) into one die constituting a system on a chip (SoC).

Usage edit

The Cortex-A76 was first used in the HiSilicon Kirin 980.[10]

ARM has also collaborated with Qualcomm for a semi-custom version of the Cortex-A76, used within their high-end Kryo 495 (Snapdragon 8cx)/Kryo 485 (Snapdragon 855 and 855 Plus), and also in their mid-range Kryo 460 (Snapdragon 675) and Kryo 470 (Snapdragon 730) CPUs. One of the modifications Qualcomm made was increasing reorder buffer to increase the out-of-order window size.[11]

It is also used in the Exynos 990 and Exynos Auto V9,[12] the MediaTek Helio G90/G90T/G95 and Dimensity 800 and Dimensity 820, and the HiSilicon Kirin 985 5G and Kirin 990 4G/990 5G/990E 5G.[13][14][15]

The Cortex-A76 can be found in Snapdragon 855 as Big-core.

The Cortex-A76 is used as Big-core in Intel Agilex D-series SoC FPGA devices.[16]

In 2020 Cortex-A76 was used in Rockchip RK3588 and RK3588s.

In September 2023, the Raspberry Pi 5 was introduced with a Broadcom BCM2712 quad-core Arm Cortex-A76 processor with a clock speed of 2.4 GHz.[17]

See also edit

References edit

  1. ^ Shrout, Ryan; Moorhead, Patrick (31 May 2018). "Ep 23 - 5/31/18 - The Future of Arm with Nandan Nayampally". The Tech Analysts Podcast. Retrieved 1 June 2018.
  2. ^ a b c Frumusanu, Andrei (31 May 2018). "Arm Cortex-A76 CPU Unveiled". Anandtech. Retrieved 1 June 2018.
  3. ^ "Arm Unveils Cortex-A77, Emphasizes Single-Thread Performance". WikiChip Fuse. 2019-05-26. Retrieved 2020-06-18.
  4. ^ Williams, Chris (31 May 2018). "Arm emits Cortex-A76 – its first 64-bit-only CPU core (in kernel mode)". The Register. Retrieved 1 June 2018.
  5. ^ "ARM documentation set for Cortex-A76". infocenter.arm.com. Retrieved 2019-06-15.
  6. ^ Armasu, Lucian (31 May 2018). "Arm's Cortex-A76 Could Be The First True Challenger To x86 Chips On Laptops". Tom's Hardware. Retrieved 1 June 2018.
  7. ^ Triggs, Robert (31 May 2018). "Arm Cortex-A76 CPU deep dive". Android Authority. Retrieved 1 June 2018.
  8. ^ Hruska, Joel (31 May 2018). "ARM's New Cortex-A76 SoC Targets Windows Laptop Market". Extreme Tech. Retrieved 1 June 2018.
  9. ^ Bright, Peter (1 June 2018). "ARM promises laptop-level performance in 2019". Ars Technica. Retrieved 1 June 2018.
  10. ^ Frumusanu, Andrei. "HiSilicon Announces The Kirin 980: First A76, G76 on 7nm". www.anandtech.com. Retrieved 2020-11-13.
  11. ^ Frumusanu, Andrei. "Arm's New Cortex-A77 CPU Micro-architecture: Evolving Performance". www.anandtech.com. Retrieved 2019-06-16.
  12. ^ "Exynos 990 Mobile Processor: Specs, Features | Samsung Exynos". Samsung Semiconductor. Retrieved 2020-06-18.
  13. ^ MediaTek (2020-06-18). "MediaTek Helio G90 Series". MediaTek. Retrieved 2020-06-18.
  14. ^ MediaTek (2020-06-18). "MediaTek Dimensity 800". MediaTek. Retrieved 2020-06-18.
  15. ^ MediaTek (2020-06-18). "MediaTek Dimensity 820". MediaTek. Retrieved 2020-06-18.
  16. ^ Mark van der Zalm. "Intel® Agilex™ D-Series FPGA White Paper". Intel. Retrieved 2022-10-20.
  17. ^ Eben Upton. "Introducing: Raspberry Pi 5!". Raspberry Pi. Retrieved 2023-10-21.

cortex, central, processing, unit, implementing, armv8, instruction, designed, holdings, austin, design, centre, states, increase, integer, floating, point, performance, respectively, over, cortex, previous, generation, general, informationlaunched2018, design. The ARM Cortex A76 is a central processing unit implementing the ARMv8 2 A 64 bit instruction set designed by ARM Holdings Austin design centre ARM states a 25 and 35 increase in integer and floating point performance respectively over a Cortex A75 of the previous generation 2 ARM Cortex A76General informationLaunched2018 1 Designed byARM HoldingsPerformanceMax CPU clock rateto 3 0 GHz in phones and 3 3 GHz in tablets laptops FSB speeds100 to 104 Address width40 bitCacheL1 cache128 KiB 64 KiB I cache with parity 64 KiB D cache per coreL2 cache128 512 KiB per coreL3 cache512 KiB 4 MiB optional Architecture and classificationMicroarchitectureARM Cortex A76Instruction setARMv8 A A64 A32 and T32 at the EL0 only ExtensionsARMv8 1 A ARMv8 2 A Cryptography RAS ARMv8 3 A LDAPR instructions ARMv8 4 A dot productPhysical specificationsCores1 4 per clusterCo processorARM Cortex A55 optional Products models variantsProduct code name s EnyoVariant s Arm Neoverse N1HistoryPredecessor s ARM Cortex A75ARM Cortex A73ARM Cortex A72Successor s ARM Cortex A77 Contents 1 Design 2 Licensing 3 Usage 4 See also 5 ReferencesDesign editThe Cortex A76 serves as the successor of the ARM Cortex A73 and ARM Cortex A75 though based on a clean sheet design The Cortex A76 frontend is a 4 wide decode out of order superscalar design It can fetch 4 instructions per cycle And clarification needed rename and dispatch 4 Mops and 8 µops per cycle The out of order window size is 128 entries The backend is 8 execution ports clarification needed with a pipeline depth of 13 stages and the execution latencies of 11 stages 2 3 The core supports unprivileged 32 bit applications but privileged applications must utilize the 64 bit ARMv8 A ISA 4 It also supports Load acquire LDAPR instructions ARMv8 3 A Dot Product instructions ARMv8 4 A PSTATE Speculative Store Bypass Safe SSBS bit and the speculation barriers CSDB SSBB PSSBB instructions ARMv8 5 A 5 Memory bandwidth increased 90 relative to the A75 6 7 According to ARM the A76 is expected to offer twice the performance of an A73 and is targeted beyond mobile workloads The performance is targeted at laptop class including Windows 10 devices 8 competitive with Intel s Kaby Lake 9 The Cortex A76 support ARM s DynamIQ technology expected to be used as high performance cores when used in combination with Cortex A55 power efficient cores 2 Licensing editThe Cortex A76 is available as a SIP core to licensees and its design makes it suitable for integration with other SIP cores e g GPU display controller DSP image processor etc into one die constituting a system on a chip SoC Usage editThe Cortex A76 was first used in the HiSilicon Kirin 980 10 ARM has also collaborated with Qualcomm for a semi custom version of the Cortex A76 used within their high end Kryo 495 Snapdragon 8cx Kryo 485 Snapdragon 855 and 855 Plus and also in their mid range Kryo 460 Snapdragon 675 and Kryo 470 Snapdragon 730 CPUs One of the modifications Qualcomm made was increasing reorder buffer to increase the out of order window size 11 It is also used in the Exynos 990 and Exynos Auto V9 12 the MediaTek Helio G90 G90T G95 and Dimensity 800 and Dimensity 820 and the HiSilicon Kirin 985 5G and Kirin 990 4G 990 5G 990E 5G 13 14 15 The Cortex A76 can be found in Snapdragon 855 as Big core The Cortex A76 is used as Big core in Intel Agilex D series SoC FPGA devices 16 In 2020 Cortex A76 was used in Rockchip RK3588 and RK3588s In September 2023 the Raspberry Pi 5 was introduced with a Broadcom BCM2712 quad core Arm Cortex A76 processor with a clock speed of 2 4 GHz 17 See also editARM Cortex A75 predecessor ARM Cortex A77 successor Comparison of ARMv8 A cores ARMv8 familyReferences edit Shrout Ryan Moorhead Patrick 31 May 2018 Ep 23 5 31 18 The Future of Arm with Nandan Nayampally The Tech Analysts Podcast Retrieved 1 June 2018 a b c Frumusanu Andrei 31 May 2018 Arm Cortex A76 CPU Unveiled Anandtech Retrieved 1 June 2018 Arm Unveils Cortex A77 Emphasizes Single Thread Performance WikiChip Fuse 2019 05 26 Retrieved 2020 06 18 Williams Chris 31 May 2018 Arm emits Cortex A76 its first 64 bit only CPU core in kernel mode The Register Retrieved 1 June 2018 ARM documentation set for Cortex A76 infocenter arm com Retrieved 2019 06 15 Armasu Lucian 31 May 2018 Arm s Cortex A76 Could Be The First True Challenger To x86 Chips On Laptops Tom s Hardware Retrieved 1 June 2018 Triggs Robert 31 May 2018 Arm Cortex A76 CPU deep dive Android Authority Retrieved 1 June 2018 Hruska Joel 31 May 2018 ARM s New Cortex A76 SoC Targets Windows Laptop Market Extreme Tech Retrieved 1 June 2018 Bright Peter 1 June 2018 ARM promises laptop level performance in 2019 Ars Technica Retrieved 1 June 2018 Frumusanu Andrei HiSilicon Announces The Kirin 980 First A76 G76 on 7nm www anandtech com Retrieved 2020 11 13 Frumusanu Andrei Arm s New Cortex A77 CPU Micro architecture Evolving Performance www anandtech com Retrieved 2019 06 16 Exynos 990 Mobile Processor Specs Features Samsung Exynos Samsung Semiconductor Retrieved 2020 06 18 MediaTek 2020 06 18 MediaTek Helio G90 Series MediaTek Retrieved 2020 06 18 MediaTek 2020 06 18 MediaTek Dimensity 800 MediaTek Retrieved 2020 06 18 MediaTek 2020 06 18 MediaTek Dimensity 820 MediaTek Retrieved 2020 06 18 Mark van der Zalm Intel Agilex D Series FPGA White Paper Intel Retrieved 2022 10 20 Eben Upton Introducing Raspberry Pi 5 Raspberry Pi Retrieved 2023 10 21 Retrieved from https en wikipedia org w index php title ARM Cortex A76 amp oldid 1182212504, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.