fbpx
Wikipedia

Optical computing

Optical computing or photonic computing uses light waves produced by lasers or incoherent sources for data processing, data storage or data communication for computing. For decades, photons have shown promise to enable a higher bandwidth than the electrons used in conventional computers (see optical fibers).

Most research projects focus on replacing current computer components with optical equivalents, resulting in an optical digital computer system processing binary data. This approach appears to offer the best short-term prospects for commercial optical computing, since optical components could be integrated into traditional computers to produce an optical-electronic hybrid. However, optoelectronic devices consume 30% of their energy converting electronic energy into photons and back; this conversion also slows the transmission of messages. All-optical computers eliminate the need for optical-electrical-optical (OEO) conversions, thus reducing electrical power consumption.[1]

Application-specific devices, such as synthetic-aperture radar (SAR) and optical correlators, have been designed to use the principles of optical computing. Correlators can be used, for example, to detect and track objects,[2] and to classify serial time-domain optical data.[3]

Optical components for binary digital computer

The fundamental building block of modern electronic computers is the transistor. To replace electronic components with optical ones, an equivalent optical transistor is required. This is achieved by crystal optics (using materials with a non-linear refractive index).[4] In particular, materials exist[5] where the intensity of incoming light affects the intensity of the light transmitted through the material in a similar manner to the current response of a bipolar transistor. Such an optical transistor[6][7] can be used to create optical logic gates,[7] which in turn are assembled into the higher level components of the computer's central processing unit (CPU). These will be nonlinear optical crystals used to manipulate light beams into controlling other light beams.

Like any computing system, an optical computing system needs three things to function well:

  1. optical processor
  2. optical data transfer, e.g. fiber-optic cable
  3. optical storage,[8]

Substituting electrical components will need data format conversion from photons to electrons, which will make the system slower.

Controversy

There are some disagreements between researchers about the future capabilities of optical computers; whether or not they may be able to compete with semiconductor-based electronic computers in terms of speed, power consumption, cost, and size is an open question. Critics note that[9] real-world logic systems require "logic-level restoration, cascadability, fan-out and input–output isolation", all of which are currently provided by electronic transistors at low cost, low power, and high speed. For optical logic to be competitive beyond a few niche applications, major breakthroughs in non-linear optical device technology would be required, or perhaps a change in the nature of computing itself.[10]

Misconceptions, challenges, and prospects

A significant challenge to optical computing is that computation is a nonlinear process in which multiple signals must interact. Light, which is an electromagnetic wave, can only interact with another electromagnetic wave in the presence of electrons in a material,[11] and the strength of this interaction is much weaker for electromagnetic waves, such as light, than for the electronic signals in a conventional computer. This may result in the processing elements for an optical computer requiring more power and larger dimensions than those for a conventional electronic computer using transistors.[citation needed]

A further misconception[by whom?] is that since light can travel much faster than the drift velocity of electrons, and at frequencies measured in THz, optical transistors should be capable of extremely high frequencies. However, any electromagnetic wave must obey the transform limit, and therefore the rate at which an optical transistor can respond to a signal is still limited by its spectral bandwidth. In fiber-optic communications, practical limits such as dispersion often constrain channels to bandwidths of tens of GHz, only slightly better than many silicon transistors. Obtaining dramatically faster operation than electronic transistors would therefore require practical methods of transmitting ultrashort pulses down highly dispersive waveguides.

Photonic logic

 
Realization of a photonic controlled-NOT gate for use in quantum computing

Photonic logic is the use of photons (light) in logic gates (NOT, AND, OR, NAND, NOR, XOR, XNOR). Switching is obtained using nonlinear optical effects when two or more signals are combined.[7]

Resonators are especially useful in photonic logic, since they allow a build-up of energy from constructive interference, thus enhancing optical nonlinear effects.

Other approaches that have been investigated include photonic logic at a molecular level, using photoluminescent chemicals. In a demonstration, Witlicki et al. performed logical operations using molecules and SERS.[12]

Unconventional approaches

Time delays optical computing

The basic idea is to delay light (or any other signal) in order to perform useful computations.[13] Of interest would be to solve NP-complete problems as those are difficult problems for the conventional computers.

There are two basic properties of light that are actually used in this approach:

  • The light can be delayed by passing it through an optical fiber of a certain length.
  • The light can be split into multiple (sub)rays. This property is also essential because we can evaluate multiple solutions in the same time.

When solving a problem with time-delays the following steps must be followed:

  • The first step is to create a graph-like structure made from optical cables and splitters. Each graph has a start node and a destination node.
  • The light enters through the start node and traverses the graph until it reaches the destination. It is delayed when passing through arcs and divided inside nodes.
  • The light is marked when passing through an arc or through a node so that we can easily identify that fact at the destination node.
  • At the destination node we will wait for a signal (fluctuation in the intensity of the signal) which arrives at a particular moment(s) in time. If there is no signal arriving at that moment, it means that we have no solution for our problem. Otherwise the problem has a solution. Fluctuations can be read with a photodetector and an oscilloscope.

The first problem attacked in this way was the Hamiltonian path problem.[13]

The simplest one is the subset sum problem.[14] An optical device solving an instance with four numbers {a1, a2, a3, a4} is depicted below:

 

The light will enter in Start node. It will be divided into two (sub)rays of smaller intensity. These two rays will arrive into the second node at moments a1 and 0. Each of them will be divided into two subrays which will arrive in the third node at moments 0, a1, a2 and a1 + a2. These represents the all subsets of the set {a1, a2}. We expect fluctuations in the intensity of the signal at no more than four different moments. In the destination node we expect fluctuations at no more than 16 different moments (which are all the subsets of the given). If we have a fluctuation in the target moment B, it means that we have a solution of the problem, otherwise there is no subset whose sum of elements equals B. For the practical implementation we cannot have zero-length cables, thus all cables are increased with a small (fixed for all) value k'. In this case the solution is expected at moment B+n×k.

On-Chip Photonic Tensor Cores

With increasing demands on graphical processing unit-based accelerator technologies, in the second decade of the 21st century, there has been an huge emphasis on the use of on-chip integrated optics to create photonics-based processors. The emergence of both deep learning neural networks based on phase modulation,[15] and more recently amplitude modulation using photonic memories [16] have created a new area of photonic technologies for neuromorphic computing,[17][18] leading to new photonic computing technologies, all on a chip such as the photonic tensor core.[19]

Wavelength-based computing

Wavelength-based computing[20] can be used to solve the 3-SAT problem with n variables, m clauses and with no more than three variables per clause. Each wavelength, contained in a light ray, is considered as possible value-assignments to n variables. The optical device contains prisms and mirrors are used to discriminate proper wavelengths which satisfy the formula.[21]

Computing by xeroxing on transparencies

This approach uses a photocopier and transparent sheets for performing computations.[22] k-SAT problem with n variables, m clauses and at most k variables per clause has been solved in three steps:[23]

  • Firstly all 2n possible assignments of n variables have been generated by performing n photocopies.
  • Using at most 2k copies of the truth table, each clause is evaluated at every row of the truth table simultaneously.
  • The solution is obtained by making a single copy operation of the overlapped transparencies of all m clauses.

Masking optical beams

The travelling salesman problem has been solved by Shaked et al (2007)[24] by using an optical approach. All possible TSP paths have been generated and stored in a binary matrix which was multiplied with another gray-scale vector containing the distances between cities. The multiplication is performed optically by using an optical correlator.

Optical Fourier co-processors

Many computations, particularly in scientific applications, require frequent use of the 2D discrete Fourier transform (DFT) – for example in solving differential equations describing propagation of waves or transfer of heat. Though modern GPU technologies typically enable high-speed computation of large 2D DFTs, techniques have been developed that can perform continuous Fourier transform optically by utilising the natural Fourier transforming property of lenses. The input is encoded using a liquid crystal spatial light modulator and the result is measured using a conventional CMOS or CCD image sensor. Such optical architectures can offer superior scaling of computational complexity due to the inherently highly interconnected nature of optical propagation, and have been used to solve 2D heat equations.[25]

Ising machines

Physical computers whose design was inspired by the theoretical Ising model are called Ising machines.[26][27][28]

Yoshihisa Yamamoto's lab at Stanford pioneered building Ising machines using photons. Initially Yamamoto and his colleagues built an Ising machine using lasers, mirrors, and other optical components commonly found on an optical table.[26][27]

Later a team at Hewlett Packard Labs developed photonic chip design tools and used them to build an Ising machine on a single chip, integrating 1,052 optical components on that single chip.[26]

Industry

Some additional companies involved with optical computing development include IBM,[29] Microsoft,[30] Procyon Photonics,[31] Lightelligence,[32] Lightmatter, Optalysys,[33] Xanadu Quantum Technologies, ORCA Computing, PsiQuantum, Quandela [fr], and TundraSystems Global.[34]

See also

References

  1. ^ Nolte, D.D. (2001). Mind at Light Speed: A New Kind of Intelligence. Simon and Schuster. p. 34. ISBN 978-0-7432-0501-6.
  2. ^ Feitelson, Dror G. (1988). "Chapter 3: Optical Image and Signal Processing". Optical Computing: A Survey for Computer Scientists. Cambridge, Massachusetts: MIT Press. ISBN 978-0-262-06112-4.
  3. ^ Kim, S. K.; Goda, K.; Fard, A. M.; Jalali, B. (2011). "Optical time-domain analog pattern correlator for high-speed real-time image recognition". Optics Letters. 36 (2): 220–2. Bibcode:2011OptL...36..220K. doi:10.1364/ol.36.000220. PMID 21263506. S2CID 15492810.
  4. ^ "These Optical Gates Offer Electronic Access - IEEE Spectrum". spectrum.ieee.org. Retrieved 2022-12-30.
  5. ^ "Encyclopedia of Laser Physics and Technology - nonlinear index, Kerr effect".
  6. ^ Jain, K.; Pratt, G. W. Jr. (1976). "Optical transistor". Appl. Phys. Lett. 28 (12): 719. Bibcode:1976ApPhL..28..719J. doi:10.1063/1.88627.
  7. ^ a b c US 4382660, K. Jain & G.W. Pratt, Jr., "Optical transistors and logic circuits embodying the same", published May 10, 1983 
  8. ^ "Project Silica". Microsoft Research. Retrieved 2019-11-07.
  9. ^ Tucker, R.S. (2010). "The role of optics in computing". Nature Photonics. 4 (7): 405. Bibcode:2010NaPho...4..405T. doi:10.1038/nphoton.2010.162.
  10. ^ Rajan, Renju; Babu, Padmanabhan Ramesh; Senthilnathan, Krishnamoorthy. "All-Optical Logic Gates Show Promise for Optical Computing". Photonics. Photonics Spectra. Retrieved 8 April 2018.
  11. ^ Philip R. Wallace (1996). Paradox Lost: Images of the Quantum. Springer. ISBN 978-0387946597.
  12. ^ Witlicki, Edward H.; Johnsen, Carsten; Hansen, Stinne W.; Silverstein, Daniel W.; Bottomley, Vincent J.; Jeppesen, Jan O.; Wong, Eric W.; Jensen, Lasse; Flood, Amar H. (2011). "Molecular Logic Gates Using Surface-Enhanced Raman-Scattered Light". J. Am. Chem. Soc. 133 (19): 7288–91. doi:10.1021/ja200992x. PMID 21510609.
  13. ^ a b Oltean, Mihai (2006). A light-based device for solving the Hamiltonian path problem. Unconventional Computing. Springer LNCS 4135. pp. 217–227. arXiv:0708.1496. doi:10.1007/11839132_18.
  14. ^ Mihai Oltean, Oana Muntean (2009). "Solving the subset-sum problem with a light-based device". Natural Computing. 8 (2): 321–331. arXiv:0708.1964. doi:10.1007/s11047-007-9059-3. S2CID 869226.
  15. ^ Shen, Yichen; Harris, Nicholas C.; Skirlo, Scott; Prabhu, Mihika; Baehr-Jones, Tom; Hochberg, Michael; Sun, Xin; Zhao, Shijie; Larochelle, Hugo; Englund, Dirk; Soljačić, Marin (July 2017). "Deep learning with coherent nanophotonic circuits". Nature Photonics. 11 (7): 441–446. arXiv:1610.02365. Bibcode:2017NaPho..11..441S. doi:10.1038/nphoton.2017.93. ISSN 1749-4893. S2CID 13188174.
  16. ^ Ríos, Carlos; Youngblood, Nathan; Cheng, Zengguang; Le Gallo, Manuel; Pernice, Wolfram H. P.; Wright, C. David; Sebastian, Abu; Bhaskaran, Harish (February 2019). "In-memory computing on a photonic platform". Science Advances. 5 (2): eaau5759. arXiv:1801.06228. Bibcode:2019SciA....5.5759R. doi:10.1126/sciadv.aau5759. ISSN 2375-2548. PMC 6377270. PMID 30793028.
  17. ^ Prucnal, Paul R.; Shastri, Bhavin J. (2017-05-08). Neuromorphic Photonics. CRC Press. ISBN 978-1-4987-2524-8.
  18. ^ Shastri, Bhavin J.; Tait, Alexander N.; Ferreira de Lima, T.; Pernice, Wolfram H. P.; Bhaskaran, Harish; Wright, C. D.; Prucnal, Paul R. (February 2021). "Photonics for artificial intelligence and neuromorphic computing". Nature Photonics. 15 (2): 102–114. arXiv:2011.00111. Bibcode:2021NaPho..15..102S. doi:10.1038/s41566-020-00754-y. ISSN 1749-4893. S2CID 256703035.
  19. ^ Feldmann, J.; Youngblood, N.; Karpov, M.; Gehring, H.; Li, X.; Stappers, M.; Le Gallo, M.; Fu, X.; Lukashchuk, A.; Raja, A. S.; Liu, J.; Wright, C. D.; Sebastian, A.; Kippenberg, T. J.; Pernice, W. H. P. (January 2021). "Parallel convolutional processing using an integrated photonic tensor core". Nature. 589 (7840): 52–58. arXiv:2002.00281. Bibcode:2021Natur.589...52F. doi:10.1038/s41586-020-03070-1. hdl:10871/124352. ISSN 1476-4687. PMID 33408373. S2CID 256823189.
  20. ^ Sama Goliaei, Saeed Jalili (2009). An Optical Wavelength-Based Solution to the 3-SAT Problem. Optical SuperComputing Workshop. pp. 77–85. Bibcode:2009LNCS.5882...77G. doi:10.1007/978-3-642-10442-8_10.
  21. ^ Bartlett, Ben; Dutt, Avik; Fan, Shanhui (2021-12-20). "Deterministic photonic quantum computation in a synthetic time dimension". Optica. 8 (12): 1515–1523. arXiv:2101.07786. Bibcode:2021Optic...8.1515B. doi:10.1364/OPTICA.424258. ISSN 2334-2536. S2CID 231639424.
  22. ^ Head, Tom (2009). Parallel Computing by Xeroxing on Transparencies. Algorithmic Bioprocesses. Springer. pp. 631–637. doi:10.1007/978-3-540-88869-7_31.
  23. ^ Computing by xeroxing on transparencies, April 21, 2015, retrieved 2022-08-14
  24. ^ NT Shaked, S Messika, S Dolev, J Rosen (2007). "Optical solution for bounded NP-complete problems". Applied Optics. 46 (5): 711–724. Bibcode:2007ApOpt..46..711S. doi:10.1364/AO.46.000711. PMID 17279159. S2CID 17440025.{{cite journal}}: CS1 maint: multiple names: authors list (link)
  25. ^ A. J. Macfaden, G. S. D. Gordon, T. D. Wilkinson (2017). "An optical Fourier transform coprocessor with direct phase determination". Scientific Reports. 7 (1): 13667. Bibcode:2017NatSR...713667M. doi:10.1038/s41598-017-13733-1. PMC 5651838. PMID 29057903.{{cite journal}}: CS1 maint: multiple names: authors list (link)
  26. ^ a b c Courtland, Rachel (2 January 2017). "HPE's New Chip Marks a Milestone in Optical Computing". IEEE Spectrum.
  27. ^ a b Cartlidge, Edwin (31 October 2016). "New Ising-machine computers are taken for a spin". Physics World.
  28. ^ Cho, Adrian (2016-10-20). "Odd computer zips through knotty tasks". Science.
  29. ^ Leprince-Ringuet, Daphne (2021-01-08). "IBM is using light, instead of electricity, to create ultra-fast computing". ZDNET. Retrieved 2023-07-02.
  30. ^ Wickens, Katie (2023-06-30). "Microsoft's light-based computer marks 'the unravelling of Moore's Law'". PC Gamer. Retrieved 2023-07-02.
  31. ^ Redrouthu, Sathvik (2022-08-13). "Tensor Algebra on an Optoelectronic Microchip". arXiv:2208.06749 [cs.PL].
  32. ^ de Wolff, Daniel (2021-06-02). "Accelerating AI at the speed of light". MIT News. Retrieved 2023-07-02.
  33. ^ "Optalysys launches FT:X 2000 - The world's first commercial optical processing system". insideHPC.com. 2019-03-07. Retrieved 2023-07-02.
  34. ^ Gülen, Kerem (2022-12-15). "What Is Optical Computing: How Does It Work, Companies And More". Dataconomy.com. Retrieved 2023-07-02.

Further reading

  • Feitelson, Dror G. (1988). Optical Computing: A Survey for Computer Scientists. Cambridge, Massachusetts: MIT Press. ISBN 978-0-262-06112-4.
  • McAulay, Alastair D. (1991). Optical Computer Architectures: The Application of Optical Concepts to Next Generation Computers. New York, NY: John Wiley & Sons. ISBN 978-0-471-63242-9.
  • Ibrahim TA; Amarnath K; Kuo LC; Grover R; Van V; Ho PT (2004). "Photonic logic NOR gate based on two symmetric microring resonators". Opt Lett. 29 (23): 2779–81. Bibcode:2004OptL...29.2779I. doi:10.1364/OL.29.002779. PMID 15605503.
  • Biancardo M; Bignozzi C; Doyle H; Redmond G (2005). "A potential and ion switched molecular photonic logic gate". Chem. Commun. (31): 3918–20. doi:10.1039/B507021J. PMID 16075071.
  • Jahns, J.; Lee, S.H., eds. (1993). Optical Computing Hardware: Optical Computing. Elsevier Science. ISBN 978-1-4832-1844-1.
  • Barros S; Guan S; Alukaidey T (1997). "An MPP reconfigurable architecture using free-space optical interconnects and Petri net configuring". Journal of System Architecture. 43 (6–7): 391–402. doi:10.1016/S1383-7621(96)00053-7.
  • D. Goswami, "Optical Computing", Resonance, June 2003; ibid July 2003.
  • Main T; Feuerstein RJ; Jordan HF; Heuring VP; Feehrer J; Love CE (1994). "Implementation of a general-purpose stored-program digital optical computer". Applied Optics. 33 (8): 1619–28. Bibcode:1994ApOpt..33.1619M. doi:10.1364/AO.33.001619. PMID 20862187. S2CID 25927679.
  • Guan, T.S.; Barros, S.P.V. (April 1994). "Reconfigurable Multi-Behavioural Architecture using Free-Space Optical Communication". Proceedings of the IEEE International Workshop on Massively Parallel Processing using Optical Interconnections. IEEE. pp. 293–305. doi:10.1109/MPPOI.1994.336615. ISBN 978-0-8186-5832-7. S2CID 61886442.
  • Guan, T.S.; Barros, S.P.V. (August 1994). "Parallel Processor Communications through Free-Space Optics". TENCON '94. IEEE Region 10's Ninth Annual International Conference. Theme: Frontiers of Computer Technology. Vol. 2. IEEE. pp. 677–681. doi:10.1109/TENCON.1994.369219. ISBN 978-0-7803-1862-5. S2CID 61493433.
  • Guha A.; Ramnarayan R.; Derstine M. (1987). "Architectural issues in designing symbolic processors in optics". Proceedings of the 14th annual international symposium on Computer architecture (ISCA '87). ACM. pp. 145–151. doi:10.1145/30350.30367. ISBN 978-0-8186-0776-9. S2CID 14228669.
  • K.-H. Brenner, Alan Huang: "Logic and architectures for digital optical computers (A)", J. Opt. Soc. Am., A 3, 62, (1986)
  • Brenner, K.-H. (1988). "A programmable optical processor based on symbolic substitution". Appl. Opt. 27 (9): 1687–91. Bibcode:1988ApOpt..27.1687B. doi:10.1364/AO.27.001687. PMID 20531637. S2CID 43648075.
  • Streibl N.; Brenner K.-H.; Huang A.; Jahns J.; Jewell J.L.; Lohmann A.W.; Miller D.A.B.; Murdocca M.J.; Prise M.E.; Sizer II T. (1989). "Digital Optics". Proc. IEEE. 77 (12): 1954–69. doi:10.1109/5.48834. S2CID 59276160.
  • , 2000
  • Optical solutions for NP-complete problems
  • Dolev, S.; Haist, T.; Oltean, M. (2008). Optical SuperComputing: First International Workshop, OSC 2008, Vienna, Austria, August 26, 2008, Proceedings. Springer. ISBN 978-3-540-85672-6.
  • Dolev, S.; Oltean, M. (2009). Optical Supercomputing: Second International Workshop, OSC 2009, Bertinoro, Italy, November 18–20, 2009, Proceedings. Springer. ISBN 978-3-642-10441-1.
  • Dolev, S.; Oltean, M. (2011). Optical Supercomputing: Third International Workshop, OSC 2010, Bertinoro, Italy, November 17–19, 2010, Revised Selected Papers. Springer. ISBN 978-3-642-22493-5.
  • Dolev, S.; Oltean, M. (2013). Optical Supercomputing: 4th International Workshop, OSC 2012, in Memory of H. John Caulfield, Bertinoro, Italy, July 19–21, 2012. Revised Selected Papers. Springer. ISBN 978-3-642-38250-5.
  • New Scientist
  • Caulfield H.; Dolev S. (2010). "Why future supercomputing requires optics". Nature Photonics. 4 (5): 261–263. doi:10.1038/nphoton.2010.94.
  • Cohen E.; Dolev S.; Rosenblit M. (2016). "All-optical design for inherently energy-conserving reversible gates and circuits". Nature Communications. 7: 11424. Bibcode:2016NatCo...711424C. doi:10.1038/ncomms11424. PMC 4853429. PMID 27113510.
  • Karasik, Yevgeny B. (2019). Optical Computational Geometry. ISBN 979-8511243344.

External links

  • This Laser Trick's a Quantum Leap
  • Photonics Startup Pegs Q2'06 Production Date
  • Stopping light in quantum leap
  • High Bandwidth Optical Interconnects

optical, computing, photonic, computing, uses, light, waves, produced, lasers, incoherent, sources, data, processing, data, storage, data, communication, computing, decades, photons, have, shown, promise, enable, higher, bandwidth, than, electrons, used, conve. Optical computing or photonic computing uses light waves produced by lasers or incoherent sources for data processing data storage or data communication for computing For decades photons have shown promise to enable a higher bandwidth than the electrons used in conventional computers see optical fibers Most research projects focus on replacing current computer components with optical equivalents resulting in an optical digital computer system processing binary data This approach appears to offer the best short term prospects for commercial optical computing since optical components could be integrated into traditional computers to produce an optical electronic hybrid However optoelectronic devices consume 30 of their energy converting electronic energy into photons and back this conversion also slows the transmission of messages All optical computers eliminate the need for optical electrical optical OEO conversions thus reducing electrical power consumption 1 Application specific devices such as synthetic aperture radar SAR and optical correlators have been designed to use the principles of optical computing Correlators can be used for example to detect and track objects 2 and to classify serial time domain optical data 3 Contents 1 Optical components for binary digital computer 1 1 Controversy 2 Misconceptions challenges and prospects 3 Photonic logic 4 Unconventional approaches 4 1 Time delays optical computing 4 2 On Chip Photonic Tensor Cores 4 3 Wavelength based computing 4 4 Computing by xeroxing on transparencies 4 5 Masking optical beams 4 6 Optical Fourier co processors 4 7 Ising machines 5 Industry 6 See also 7 References 8 Further reading 9 External linksOptical components for binary digital computer EditThe fundamental building block of modern electronic computers is the transistor To replace electronic components with optical ones an equivalent optical transistor is required This is achieved by crystal optics using materials with a non linear refractive index 4 In particular materials exist 5 where the intensity of incoming light affects the intensity of the light transmitted through the material in a similar manner to the current response of a bipolar transistor Such an optical transistor 6 7 can be used to create optical logic gates 7 which in turn are assembled into the higher level components of the computer s central processing unit CPU These will be nonlinear optical crystals used to manipulate light beams into controlling other light beams Like any computing system an optical computing system needs three things to function well optical processor optical data transfer e g fiber optic cable optical storage 8 Substituting electrical components will need data format conversion from photons to electrons which will make the system slower Controversy Edit There are some disagreements between researchers about the future capabilities of optical computers whether or not they may be able to compete with semiconductor based electronic computers in terms of speed power consumption cost and size is an open question Critics note that 9 real world logic systems require logic level restoration cascadability fan out and input output isolation all of which are currently provided by electronic transistors at low cost low power and high speed For optical logic to be competitive beyond a few niche applications major breakthroughs in non linear optical device technology would be required or perhaps a change in the nature of computing itself 10 Misconceptions challenges and prospects EditA significant challenge to optical computing is that computation is a nonlinear process in which multiple signals must interact Light which is an electromagnetic wave can only interact with another electromagnetic wave in the presence of electrons in a material 11 and the strength of this interaction is much weaker for electromagnetic waves such as light than for the electronic signals in a conventional computer This may result in the processing elements for an optical computer requiring more power and larger dimensions than those for a conventional electronic computer using transistors citation needed A further misconception by whom is that since light can travel much faster than the drift velocity of electrons and at frequencies measured in THz optical transistors should be capable of extremely high frequencies However any electromagnetic wave must obey the transform limit and therefore the rate at which an optical transistor can respond to a signal is still limited by its spectral bandwidth In fiber optic communications practical limits such as dispersion often constrain channels to bandwidths of tens of GHz only slightly better than many silicon transistors Obtaining dramatically faster operation than electronic transistors would therefore require practical methods of transmitting ultrashort pulses down highly dispersive waveguides Photonic logic Edit Realization of a photonic controlled NOT gate for use in quantum computingPhotonic logic is the use of photons light in logic gates NOT AND OR NAND NOR XOR XNOR Switching is obtained using nonlinear optical effects when two or more signals are combined 7 Resonators are especially useful in photonic logic since they allow a build up of energy from constructive interference thus enhancing optical nonlinear effects Other approaches that have been investigated include photonic logic at a molecular level using photoluminescent chemicals In a demonstration Witlicki et al performed logical operations using molecules and SERS 12 Unconventional approaches EditTime delays optical computing Edit The basic idea is to delay light or any other signal in order to perform useful computations 13 Of interest would be to solve NP complete problems as those are difficult problems for the conventional computers There are two basic properties of light that are actually used in this approach The light can be delayed by passing it through an optical fiber of a certain length The light can be split into multiple sub rays This property is also essential because we can evaluate multiple solutions in the same time When solving a problem with time delays the following steps must be followed The first step is to create a graph like structure made from optical cables and splitters Each graph has a start node and a destination node The light enters through the start node and traverses the graph until it reaches the destination It is delayed when passing through arcs and divided inside nodes The light is marked when passing through an arc or through a node so that we can easily identify that fact at the destination node At the destination node we will wait for a signal fluctuation in the intensity of the signal which arrives at a particular moment s in time If there is no signal arriving at that moment it means that we have no solution for our problem Otherwise the problem has a solution Fluctuations can be read with a photodetector and an oscilloscope The first problem attacked in this way was the Hamiltonian path problem 13 The simplest one is the subset sum problem 14 An optical device solving an instance with four numbers a1 a2 a3 a4 is depicted below The light will enter in Start node It will be divided into two sub rays of smaller intensity These two rays will arrive into the second node at moments a1 and 0 Each of them will be divided into two subrays which will arrive in the third node at moments 0 a1 a2 and a1 a2 These represents the all subsets of the set a1 a2 We expect fluctuations in the intensity of the signal at no more than four different moments In the destination node we expect fluctuations at no more than 16 different moments which are all the subsets of the given If we have a fluctuation in the target moment B it means that we have a solution of the problem otherwise there is no subset whose sum of elements equals B For the practical implementation we cannot have zero length cables thus all cables are increased with a small fixed for all value k In this case the solution is expected at momentB n k On Chip Photonic Tensor Cores Edit With increasing demands on graphical processing unit based accelerator technologies in the second decade of the 21st century there has been an huge emphasis on the use of on chip integrated optics to create photonics based processors The emergence of both deep learning neural networks based on phase modulation 15 and more recently amplitude modulation using photonic memories 16 have created a new area of photonic technologies for neuromorphic computing 17 18 leading to new photonic computing technologies all on a chip such as the photonic tensor core 19 Wavelength based computing Edit Wavelength based computing 20 can be used to solve the 3 SAT problem with n variables m clauses and with no more than three variables per clause Each wavelength contained in a light ray is considered as possible value assignments to n variables The optical device contains prisms and mirrors are used to discriminate proper wavelengths which satisfy the formula 21 Computing by xeroxing on transparencies Edit This approach uses a photocopier and transparent sheets for performing computations 22 k SAT problem with n variables m clauses and at most k variables per clause has been solved in three steps 23 Firstly all 2n possible assignments of n variables have been generated by performing n photocopies Using at most 2k copies of the truth table each clause is evaluated at every row of the truth table simultaneously The solution is obtained by making a single copy operation of the overlapped transparencies of all m clauses Masking optical beams Edit The travelling salesman problem has been solved by Shaked et al 2007 24 by using an optical approach All possible TSP paths have been generated and stored in a binary matrix which was multiplied with another gray scale vector containing the distances between cities The multiplication is performed optically by using an optical correlator Optical Fourier co processors Edit Many computations particularly in scientific applications require frequent use of the 2D discrete Fourier transform DFT for example in solving differential equations describing propagation of waves or transfer of heat Though modern GPU technologies typically enable high speed computation of large 2D DFTs techniques have been developed that can perform continuous Fourier transform optically by utilising the natural Fourier transforming property of lenses The input is encoded using a liquid crystal spatial light modulator and the result is measured using a conventional CMOS or CCD image sensor Such optical architectures can offer superior scaling of computational complexity due to the inherently highly interconnected nature of optical propagation and have been used to solve 2D heat equations 25 Ising machines Edit Physical computers whose design was inspired by the theoretical Ising model are called Ising machines 26 27 28 Yoshihisa Yamamoto s lab at Stanford pioneered building Ising machines using photons Initially Yamamoto and his colleagues built an Ising machine using lasers mirrors and other optical components commonly found on an optical table 26 27 Later a team at Hewlett Packard Labs developed photonic chip design tools and used them to build an Ising machine on a single chip integrating 1 052 optical components on that single chip 26 Industry EditSome additional companies involved with optical computing development include IBM 29 Microsoft 30 Procyon Photonics 31 Lightelligence 32 Lightmatter Optalysys 33 Xanadu Quantum Technologies ORCA Computing PsiQuantum Quandela fr and TundraSystems Global 34 See also EditLinear optical quantum computing Optical interconnect Optical neural network Photonic crystal Applications Photonic integrated circuit Photonic molecule Photonic transistor Silicon photonics Unconventional computingReferences Edit Nolte D D 2001 Mind at Light Speed A New Kind of Intelligence Simon and Schuster p 34 ISBN 978 0 7432 0501 6 Feitelson Dror G 1988 Chapter 3 Optical Image and Signal Processing Optical Computing A Survey for Computer Scientists Cambridge Massachusetts MIT Press ISBN 978 0 262 06112 4 Kim S K Goda K Fard A M Jalali B 2011 Optical time domain analog pattern correlator for high speed real time image recognition Optics Letters 36 2 220 2 Bibcode 2011OptL 36 220K doi 10 1364 ol 36 000220 PMID 21263506 S2CID 15492810 These Optical Gates Offer Electronic Access IEEE Spectrum spectrum ieee org Retrieved 2022 12 30 Encyclopedia of Laser Physics and Technology nonlinear index Kerr effect Jain K Pratt G W Jr 1976 Optical transistor Appl Phys Lett 28 12 719 Bibcode 1976ApPhL 28 719J doi 10 1063 1 88627 a b c US 4382660 K Jain amp G W Pratt Jr Optical transistors and logic circuits embodying the same published May 10 1983 Project Silica Microsoft Research Retrieved 2019 11 07 Tucker R S 2010 The role of optics in computing Nature Photonics 4 7 405 Bibcode 2010NaPho 4 405T doi 10 1038 nphoton 2010 162 Rajan Renju Babu Padmanabhan Ramesh Senthilnathan Krishnamoorthy All Optical Logic Gates Show Promise for Optical Computing Photonics Photonics Spectra Retrieved 8 April 2018 Philip R Wallace 1996 Paradox Lost Images of the Quantum Springer ISBN 978 0387946597 Witlicki Edward H Johnsen Carsten Hansen Stinne W Silverstein Daniel W Bottomley Vincent J Jeppesen Jan O Wong Eric W Jensen Lasse Flood Amar H 2011 Molecular Logic Gates Using Surface Enhanced Raman Scattered Light J Am Chem Soc 133 19 7288 91 doi 10 1021 ja200992x PMID 21510609 a b Oltean Mihai 2006 A light based device for solving the Hamiltonian path problem Unconventional Computing Springer LNCS 4135 pp 217 227 arXiv 0708 1496 doi 10 1007 11839132 18 Mihai Oltean Oana Muntean 2009 Solving the subset sum problem with a light based device Natural Computing 8 2 321 331 arXiv 0708 1964 doi 10 1007 s11047 007 9059 3 S2CID 869226 Shen Yichen Harris Nicholas C Skirlo Scott Prabhu Mihika Baehr Jones Tom Hochberg Michael Sun Xin Zhao Shijie Larochelle Hugo Englund Dirk Soljacic Marin July 2017 Deep learning with coherent nanophotonic circuits Nature Photonics 11 7 441 446 arXiv 1610 02365 Bibcode 2017NaPho 11 441S doi 10 1038 nphoton 2017 93 ISSN 1749 4893 S2CID 13188174 Rios Carlos Youngblood Nathan Cheng Zengguang Le Gallo Manuel Pernice Wolfram H P Wright C David Sebastian Abu Bhaskaran Harish February 2019 In memory computing on a photonic platform Science Advances 5 2 eaau5759 arXiv 1801 06228 Bibcode 2019SciA 5 5759R doi 10 1126 sciadv aau5759 ISSN 2375 2548 PMC 6377270 PMID 30793028 Prucnal Paul R Shastri Bhavin J 2017 05 08 Neuromorphic Photonics CRC Press ISBN 978 1 4987 2524 8 Shastri Bhavin J Tait Alexander N Ferreira de Lima T Pernice Wolfram H P Bhaskaran Harish Wright C D Prucnal Paul R February 2021 Photonics for artificial intelligence and neuromorphic computing Nature Photonics 15 2 102 114 arXiv 2011 00111 Bibcode 2021NaPho 15 102S doi 10 1038 s41566 020 00754 y ISSN 1749 4893 S2CID 256703035 Feldmann J Youngblood N Karpov M Gehring H Li X Stappers M Le Gallo M Fu X Lukashchuk A Raja A S Liu J Wright C D Sebastian A Kippenberg T J Pernice W H P January 2021 Parallel convolutional processing using an integrated photonic tensor core Nature 589 7840 52 58 arXiv 2002 00281 Bibcode 2021Natur 589 52F doi 10 1038 s41586 020 03070 1 hdl 10871 124352 ISSN 1476 4687 PMID 33408373 S2CID 256823189 Sama Goliaei Saeed Jalili 2009 An Optical Wavelength Based Solution to the 3 SAT Problem Optical SuperComputing Workshop pp 77 85 Bibcode 2009LNCS 5882 77G doi 10 1007 978 3 642 10442 8 10 Bartlett Ben Dutt Avik Fan Shanhui 2021 12 20 Deterministic photonic quantum computation in a synthetic time dimension Optica 8 12 1515 1523 arXiv 2101 07786 Bibcode 2021Optic 8 1515B doi 10 1364 OPTICA 424258 ISSN 2334 2536 S2CID 231639424 Head Tom 2009 Parallel Computing by Xeroxing on Transparencies Algorithmic Bioprocesses Springer pp 631 637 doi 10 1007 978 3 540 88869 7 31 Computing by xeroxing on transparencies April 21 2015 retrieved 2022 08 14 NT Shaked S Messika S Dolev J Rosen 2007 Optical solution for bounded NP complete problems Applied Optics 46 5 711 724 Bibcode 2007ApOpt 46 711S doi 10 1364 AO 46 000711 PMID 17279159 S2CID 17440025 a href Template Cite journal html title Template Cite journal cite journal a CS1 maint multiple names authors list link A J Macfaden G S D Gordon T D Wilkinson 2017 An optical Fourier transform coprocessor with direct phase determination Scientific Reports 7 1 13667 Bibcode 2017NatSR 713667M doi 10 1038 s41598 017 13733 1 PMC 5651838 PMID 29057903 a href Template Cite journal html title Template Cite journal cite journal a CS1 maint multiple names authors list link a b c Courtland Rachel 2 January 2017 HPE s New Chip Marks a Milestone in Optical Computing IEEE Spectrum a b Cartlidge Edwin 31 October 2016 New Ising machine computers are taken for a spin Physics World Cho Adrian 2016 10 20 Odd computer zips through knotty tasks Science Leprince Ringuet Daphne 2021 01 08 IBM is using light instead of electricity to create ultra fast computing ZDNET Retrieved 2023 07 02 Wickens Katie 2023 06 30 Microsoft s light based computer marks the unravelling of Moore s Law PC Gamer Retrieved 2023 07 02 Redrouthu Sathvik 2022 08 13 Tensor Algebra on an Optoelectronic Microchip arXiv 2208 06749 cs PL de Wolff Daniel 2021 06 02 Accelerating AI at the speed of light MIT News Retrieved 2023 07 02 Optalysys launches FT X 2000 The world s first commercial optical processing system insideHPC com 2019 03 07 Retrieved 2023 07 02 Gulen Kerem 2022 12 15 What Is Optical Computing How Does It Work Companies And More Dataconomy com Retrieved 2023 07 02 Further reading EditFeitelson Dror G 1988 Optical Computing A Survey for Computer Scientists Cambridge Massachusetts MIT Press ISBN 978 0 262 06112 4 McAulay Alastair D 1991 Optical Computer Architectures The Application of Optical Concepts to Next Generation Computers New York NY John Wiley amp Sons ISBN 978 0 471 63242 9 Ibrahim TA Amarnath K Kuo LC Grover R Van V Ho PT 2004 Photonic logic NOR gate based on two symmetric microring resonators Opt Lett 29 23 2779 81 Bibcode 2004OptL 29 2779I doi 10 1364 OL 29 002779 PMID 15605503 Biancardo M Bignozzi C Doyle H Redmond G 2005 A potential and ion switched molecular photonic logic gate Chem Commun 31 3918 20 doi 10 1039 B507021J PMID 16075071 Jahns J Lee S H eds 1993 Optical Computing Hardware Optical Computing Elsevier Science ISBN 978 1 4832 1844 1 Barros S Guan S Alukaidey T 1997 An MPP reconfigurable architecture using free space optical interconnects and Petri net configuring Journal of System Architecture 43 6 7 391 402 doi 10 1016 S1383 7621 96 00053 7 D Goswami Optical Computing Resonance June 2003 ibid July 2003 Web Archive of www iisc ernet in academy resonance July2003 July2003p8 21 html Main T Feuerstein RJ Jordan HF Heuring VP Feehrer J Love CE 1994 Implementation of a general purpose stored program digital optical computer Applied Optics 33 8 1619 28 Bibcode 1994ApOpt 33 1619M doi 10 1364 AO 33 001619 PMID 20862187 S2CID 25927679 Guan T S Barros S P V April 1994 Reconfigurable Multi Behavioural Architecture using Free Space Optical Communication Proceedings of the IEEE International Workshop on Massively Parallel Processing using Optical Interconnections IEEE pp 293 305 doi 10 1109 MPPOI 1994 336615 ISBN 978 0 8186 5832 7 S2CID 61886442 Guan T S Barros S P V August 1994 Parallel Processor Communications through Free Space Optics TENCON 94 IEEE Region 10 s Ninth Annual International Conference Theme Frontiers of Computer Technology Vol 2 IEEE pp 677 681 doi 10 1109 TENCON 1994 369219 ISBN 978 0 7803 1862 5 S2CID 61493433 Guha A Ramnarayan R Derstine M 1987 Architectural issues in designing symbolic processors in optics Proceedings of the 14th annual international symposium on Computer architecture ISCA 87 ACM pp 145 151 doi 10 1145 30350 30367 ISBN 978 0 8186 0776 9 S2CID 14228669 K H Brenner Alan Huang Logic and architectures for digital optical computers A J Opt Soc Am A 3 62 1986 Brenner K H 1988 A programmable optical processor based on symbolic substitution Appl Opt 27 9 1687 91 Bibcode 1988ApOpt 27 1687B doi 10 1364 AO 27 001687 PMID 20531637 S2CID 43648075 Streibl N Brenner K H Huang A Jahns J Jewell J L Lohmann A W Miller D A B Murdocca M J Prise M E Sizer II T 1989 Digital Optics Proc IEEE 77 12 1954 69 doi 10 1109 5 48834 S2CID 59276160 NASA scientists working to improve optical computing technology 2000 Optical solutions for NP complete problems Dolev S Haist T Oltean M 2008 Optical SuperComputing First International Workshop OSC 2008 Vienna Austria August 26 2008 Proceedings Springer ISBN 978 3 540 85672 6 Dolev S Oltean M 2009 Optical Supercomputing Second International Workshop OSC 2009 Bertinoro Italy November 18 20 2009 Proceedings Springer ISBN 978 3 642 10441 1 Dolev S Oltean M 2011 Optical Supercomputing Third International Workshop OSC 2010 Bertinoro Italy November 17 19 2010 Revised Selected Papers Springer ISBN 978 3 642 22493 5 Dolev S Oltean M 2013 Optical Supercomputing 4th International Workshop OSC 2012 in Memory of H John Caulfield Bertinoro Italy July 19 21 2012 Revised Selected Papers Springer ISBN 978 3 642 38250 5 Speed of light computing comes a step closer New Scientist Caulfield H Dolev S 2010 Why future supercomputing requires optics Nature Photonics 4 5 261 263 doi 10 1038 nphoton 2010 94 Cohen E Dolev S Rosenblit M 2016 All optical design for inherently energy conserving reversible gates and circuits Nature Communications 7 11424 Bibcode 2016NatCo 711424C doi 10 1038 ncomms11424 PMC 4853429 PMID 27113510 Karasik Yevgeny B 2019 Optical Computational Geometry ISBN 979 8511243344 External links EditThis Laser Trick s a Quantum Leap Photonics Startup Pegs Q2 06 Production Date Stopping light in quantum leap High Bandwidth Optical Interconnects Retrieved from https en wikipedia org w index php title Optical computing amp oldid 1171140708, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.