fbpx
Wikipedia

Optical proximity correction

Optical proximity correction (OPC) is a photolithography enhancement technique commonly used to compensate for image errors due to diffraction or process effects. The need for OPC is seen mainly in the making of semiconductor devices and is due to the limitations of light to maintain the edge placement integrity of the original design, after processing, into the etched image on the silicon wafer. These projected images appear with irregularities such as line widths that are narrower or wider than designed, these are amenable to compensation by changing the pattern on the photomask used for imaging. Other distortions such as rounded corners are driven by the resolution of the optical imaging tool and are harder to compensate for. Such distortions, if not corrected for, may significantly alter the electrical properties of what was being fabricated. Optical proximity correction corrects these errors by moving edges or adding extra polygons to the pattern written on the photomask. This may be driven by pre-computed look-up tables based on width and spacing between features (known as rule based OPC) or by using compact models to dynamically simulate the final pattern and thereby drive the movement of edges, typically broken into sections, to find the best solution, (this is known as model based OPC). The objective is to reproduce the original layout drawn by the designer on the semiconductor wafer as well as possible.

An illustration of OPC (Optical Proximity Correction). The blue Γ-like shape is what chip designers would like printed on a wafer, in green is the pattern on a mask after applying optical proximity correction, and the red contour is how the shape actually prints on the wafer (quite close to the desired blue target).

The two most visible benefits of OPC are correcting linewidth differences seen between features in regions of different density (e.g., center vs. edge of an array, or nested vs. isolated lines), and line end shortening (e.g., gate overlap on field oxide). For the former case, this may be used together with resolution enhancement technologies such as scattering bars (sub-resolution lines placed adjacent to resolvable lines) together with linewidth adjustments. For the latter case, "dog-ear" (serif or hammerhead) features may be generated at the line end in the design. OPC has a cost impact on photomask fabrication whereby the mask write time is related to the complexity of the mask and data-files and similarly mask inspection for defects takes longer as the finer edge control requires a smaller spot size.

Impact of resolution: the k1 factor edit

The conventional diffraction-limited resolution is given by the Rayleigh criterion as   where   is the numerical aperture and   is the wavelength of the illumination source. It is often common to compare the critical feature width to this value, by defining a parameter,   such that feature width equals   Nested features with   benefit less from OPC than isolated features of the same size. The reason is the spatial frequency spectrum of nested features contains fewer components than isolated features. As the feature pitch decreases, more components are truncated by the numerical aperture, resulting in greater difficulty to affect the pattern in the desired fashion.

 
OPC applied to contact pattern. Due to the edge modification in the mask layout (top), the center contact in the right column is undersized in the wafer printed image (bottom).

Impact of illumination and spatial coherence edit

The degree of coherence of the illumination source is determined by the ratio of its angular extent to the numerical aperture. This ratio is often called the partial coherence factor, or  .[1] It also affects the pattern quality and hence the application of OPC. The coherence distance in the image plane is given roughly by  [2] Two image points separated by more than this distance will effectively be uncorrelated, allowing a simpler OPC application. This distance is in fact close to the Rayleigh criterion for values of   close to 1.

A related point is that the use of OPC does not change the illumination requirement. If off-axis illumination is required, OPC cannot be used to switch to on-axis illumination, because for on-axis illumination, imaging information is scattered outside the final aperture when off-axis illumination is needed, preventing any imaging.

Impact of aberrations edit

Aberrations in optical projection systems deform wavefronts, or the spectrum or spread of illumination angles, which can affect the depth of focus. While the use of OPC can offer significant benefits to depth of focus, aberrations can more than offset these benefits.[3] Good depth of focus requires diffracted light traveling at comparable angles with the optical axis, and this requires the appropriate illumination angle.[4] Assuming the correct illumination angle, OPC can direct more diffracted light along the right angles for a given pitch, but without the correct illumination angle, such angles will not even arise.

Impact of multiple exposure edit

As the   factor has been steadily shrinking over the past technology generations, the anticipated requirement of moving to multiple exposure to generate circuit patterns becomes more real. This approach will affect the application of OPC, as one will need to take into account the sum of the image intensities from each exposure. This is the case for the complementary photomask technique,[5] where the images of an alternating-aperture phase-shifting mask and a conventional binary mask are added together.

Impact of multiple-etch patterning edit

In contrast to multiple exposure of the same photoresist film, multiple layer patterning entails repeated photoresist coating, deposition, and etching to pattern the same device layer. This gives an opportunity to use looser design rules to pattern the same layer. Depending on the lithography tool used to image at these looser design rules, the OPC will be different. Multiple-etch patterning may become a popular technique for future technology generations. A specific form of multiple-etch patterning, using sidewall sacrificial features, is currently the only demonstrated way of systematically patterning features less than 10 nm.[6] The minimum half-pitch corresponds to the deposited thickness of the sacrificial feature.

OPC application today edit

Today, OPC is rarely practiced without the use of commercial packages from electronic design automation (EDA) vendors. Advances in algorithms, modeling techniques and the use of large compute farms has enabled the most critical patterning layers to be corrected overnight, starting from the 130 nm design rules (when model based OPC was first used) [7] down to the most advanced design rules. The number of layers requiring sophisticated OPC has increased with advanced nodes, as previously non-critical layers now require compensation.

The use of OPC is not restricted to the low   features which are commonly encountered today, but can be applied to any desired image correction scheme which can be modeled accurately. For example, proximity effect correction in electron beam lithography is included as an automated capability on commercial electron-beam lithography tools. Since many non-lithographic processes exhibit their own proximity effects, e.g., chemical-mechanical polishing or plasma etching, these effects can be mixed in with the original OPC.

Subresolution assist features (SRAFs) edit

 
Optical proximity correction of a main circuit and its assist features.
 
Assist feature OPC. The use of assist features match isolated feature images closer to dense feature images, but the assist features may themselves print accidentally.
 
Defocus effect on SRAFs. Defocus can still limit the benefits of assist features, by allowing their printing.

Subresolution assist features (SRAFs) are features which are separated from targeted features but assist in their printing, while not being printed themselves. Printing SRAFs are a critical yield detractor and require additional OPC models to determine and remove SRAFs where undesirable printing may occur.[8] SRAFs have more pronounced effects on the diffraction spectrum than targeted feature resizing and/or attachments. The requirement to not print constrains their use with low doses only. This could pose issues with stochastic effects.[9][unreliable source?] Hence their main application is to improve depth of focus for isolated features (dense features do not leave enough room for SRAF placement). Since SRAFs redistribute energy toward higher spatial frequencies or diffraction orders, the depth of focus is more dependent on the illumination angle (center of spectrum of spatial frequencies or diffraction orders) as well as the pitch (separation of spatial frequences or diffraction orders). In particular, different SRAFs (position, shape, size) could result in different illumination specifications.[10][11] In fact, certain pitches forbid the use of SRAFs for specific illumination angles.[12] Since the pitch is usually predetermined, some illumination angles must be avoided even with the use of SRAF OPC. Generally, though, SRAFs cannot be a complete solution, and can only approach the dense case, not match it.[13]

See also edit

References edit

  1. ^ Ronse, K. (1994). "Fundamental principles of phase shifting masks by Fourier optics: Theory and experimental verification". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 12 (2). American Vacuum Society: 589–600. doi:10.1116/1.587395. ISSN 0734-211X.
  2. ^ Saleh, Bahaa; Teich, M. C. (1991). Fundamentals of photonics. New York: Wiley. pp. 364–365. ISBN 978-0-471-83965-1. OCLC 22511619.
  3. ^ Kroyan, Armen; Levenson, David; Tittel, Frank K. (1998-06-29). Van den Hove, Luc (ed.). Coping with the impact of lens aberrations in the context of wavefront engineering. Vol. 3334. SPIE. p. 832. doi:10.1117/12.310817. ISSN 0277-786X.
  4. ^ Levinson, Harry J. (2005). Principles of lithography (2nd ed.). Bellingham, Wash: SPIE. pp. 274–276. ISBN 978-0-8194-5660-1. OCLC 435971871.
  5. ^ Kling, Michael E.; Cave, Nigel; Falch, Bradley J.; Fu, Chong-Cheng; Green, Kent G.; et al. (1999-07-26). Van den Hove, Luc (ed.). Practicing extension of 248-nm DUV optical lithography using trim-mask PSM. Vol. 3679. SPIE. pp. 10–17. doi:10.1117/12.354297. ISSN 0277-786X.
  6. ^ Choi, Yang-Kyu; Zhu, Ji; Grunes, Jeff; Bokor, Jeffrey; Somorjai, Gabor. A. (2003-03-20). "Fabrication of Sub-10-nm Silicon Nanowire Arrays by Size Reduction Lithography". The Journal of Physical Chemistry B. 107 (15). American Chemical Society (ACS): 3340–3343. doi:10.1021/jp0222649. ISSN 1520-6106.
  7. ^ Stirniman, John P.; Rieger, Michael L. (1994-05-17). Brunner, Timothy A. (ed.). Fast proximity correction with zone sampling. Vol. 2197. SPIE. pp. 294–301. doi:10.1117/12.175423. ISSN 0277-786X.
  8. ^ Kohli, Kriti K.; Jobes, Mark; Graur, Ioana (2017-03-17). Erdmann, Andreas; Kye, Jongwook (eds.). Automated detection and classification of printing sub-resolution assist features using machine learning algorithms. Vol. 10147. SPIE. p. 101470O. doi:10.1117/12.2261417. ISSN 0277-786X.
  9. ^ Stochastic Printing of Sub-Resolution Assist Features
  10. ^ Pang, Linyong; Hu, Peter; Peng, Danping; Chen, Dongxue; Cecil, Tom; et al. (2009-12-03). Chen, Alek C.; Han, Woo-Sung; Lin, Burn J.; Yen, Anthony (eds.). Source mask optimization (SMO) at full chip scale using inverse lithography technology (ILT) based on level set methods. Vol. 7520. SPIE. p. 75200X. doi:10.1117/12.843578. ISSN 0277-786X.
  11. ^ Nagahara, Seiji; Yoshimochi, Kazuyuki; Yamazaki, Hiroshi; Takeda, Kazuhiro; Uchiyama, Takayuki; et al. (2010-03-11). Dusa, Mircea V.; Conley, Will (eds.). SMO for 28-nm logic device and beyond: impact of source and mask complexity on lithography performance. Vol. 7640. SPIE. p. 76401H. doi:10.1117/12.846473. ISSN 0277-786X.
  12. ^ Shi, Xuelong; Hsu, Stephen; Chen, J. Fung; Hsu, Chungwei Michael; Socha, Robert J.; Dusa, Mircea V. (2002-07-01). Herr, Daniel J. C. (ed.). Understanding the forbidden pitch phenomenon and assist feature placement. Vol. 4689. SPIE. p. 985. doi:10.1117/12.473427. ISSN 0277-786X.
  13. ^ Mochi, Iacopo; Philipsen, Vicky; Gallagher, Emily; Hendrickx, Eric; Lyakhova, Kateryna; et al. (2016-03-18). Panning, Eric M.; Goldberg, Kenneth A. (eds.). Assist features: placement, impact, and relevance for EUV imaging. Vol. 9776. SPIE. p. 97761S. doi:10.1117/12.2220025. ISSN 0277-786X.

External links edit

    optical, proximity, correction, photolithography, enhancement, technique, commonly, used, compensate, image, errors, diffraction, process, effects, need, seen, mainly, making, semiconductor, devices, limitations, light, maintain, edge, placement, integrity, or. Optical proximity correction OPC is a photolithography enhancement technique commonly used to compensate for image errors due to diffraction or process effects The need for OPC is seen mainly in the making of semiconductor devices and is due to the limitations of light to maintain the edge placement integrity of the original design after processing into the etched image on the silicon wafer These projected images appear with irregularities such as line widths that are narrower or wider than designed these are amenable to compensation by changing the pattern on the photomask used for imaging Other distortions such as rounded corners are driven by the resolution of the optical imaging tool and are harder to compensate for Such distortions if not corrected for may significantly alter the electrical properties of what was being fabricated Optical proximity correction corrects these errors by moving edges or adding extra polygons to the pattern written on the photomask This may be driven by pre computed look up tables based on width and spacing between features known as rule based OPC or by using compact models to dynamically simulate the final pattern and thereby drive the movement of edges typically broken into sections to find the best solution this is known as model based OPC The objective is to reproduce the original layout drawn by the designer on the semiconductor wafer as well as possible An illustration of OPC Optical Proximity Correction The blue G like shape is what chip designers would like printed on a wafer in green is the pattern on a mask after applying optical proximity correction and the red contour is how the shape actually prints on the wafer quite close to the desired blue target The two most visible benefits of OPC are correcting linewidth differences seen between features in regions of different density e g center vs edge of an array or nested vs isolated lines and line end shortening e g gate overlap on field oxide For the former case this may be used together with resolution enhancement technologies such as scattering bars sub resolution lines placed adjacent to resolvable lines together with linewidth adjustments For the latter case dog ear serif or hammerhead features may be generated at the line end in the design OPC has a cost impact on photomask fabrication whereby the mask write time is related to the complexity of the mask and data files and similarly mask inspection for defects takes longer as the finer edge control requires a smaller spot size Contents 1 Impact of resolution the k1 factor 2 Impact of illumination and spatial coherence 3 Impact of aberrations 4 Impact of multiple exposure 5 Impact of multiple etch patterning 6 OPC application today 6 1 Subresolution assist features SRAFs 7 See also 8 References 9 External linksImpact of resolution the k1 factor editThe conventional diffraction limited resolution is given by the Rayleigh criterion as 0 61 l N A displaystyle 0 61 lambda NA nbsp where N A displaystyle NA nbsp is the numerical aperture and l displaystyle lambda nbsp is the wavelength of the illumination source It is often common to compare the critical feature width to this value by defining a parameter k 1 displaystyle k 1 nbsp such that feature width equals k 1 l N A displaystyle k 1 lambda NA nbsp Nested features with k 1 lt 1 displaystyle k 1 lt 1 nbsp benefit less from OPC than isolated features of the same size The reason is the spatial frequency spectrum of nested features contains fewer components than isolated features As the feature pitch decreases more components are truncated by the numerical aperture resulting in greater difficulty to affect the pattern in the desired fashion nbsp OPC applied to contact pattern Due to the edge modification in the mask layout top the center contact in the right column is undersized in the wafer printed image bottom Impact of illumination and spatial coherence editThe degree of coherence of the illumination source is determined by the ratio of its angular extent to the numerical aperture This ratio is often called the partial coherence factor or s displaystyle sigma nbsp 1 It also affects the pattern quality and hence the application of OPC The coherence distance in the image plane is given roughly by 0 5 l s N A displaystyle 0 5 lambda sigma NA nbsp 2 Two image points separated by more than this distance will effectively be uncorrelated allowing a simpler OPC application This distance is in fact close to the Rayleigh criterion for values of s displaystyle sigma nbsp close to 1 A related point is that the use of OPC does not change the illumination requirement If off axis illumination is required OPC cannot be used to switch to on axis illumination because for on axis illumination imaging information is scattered outside the final aperture when off axis illumination is needed preventing any imaging Impact of aberrations editAberrations in optical projection systems deform wavefronts or the spectrum or spread of illumination angles which can affect the depth of focus While the use of OPC can offer significant benefits to depth of focus aberrations can more than offset these benefits 3 Good depth of focus requires diffracted light traveling at comparable angles with the optical axis and this requires the appropriate illumination angle 4 Assuming the correct illumination angle OPC can direct more diffracted light along the right angles for a given pitch but without the correct illumination angle such angles will not even arise Impact of multiple exposure editAs the k 1 displaystyle k 1 nbsp factor has been steadily shrinking over the past technology generations the anticipated requirement of moving to multiple exposure to generate circuit patterns becomes more real This approach will affect the application of OPC as one will need to take into account the sum of the image intensities from each exposure This is the case for the complementary photomask technique 5 where the images of an alternating aperture phase shifting mask and a conventional binary mask are added together Impact of multiple etch patterning editIn contrast to multiple exposure of the same photoresist film multiple layer patterning entails repeated photoresist coating deposition and etching to pattern the same device layer This gives an opportunity to use looser design rules to pattern the same layer Depending on the lithography tool used to image at these looser design rules the OPC will be different Multiple etch patterning may become a popular technique for future technology generations A specific form of multiple etch patterning using sidewall sacrificial features is currently the only demonstrated way of systematically patterning features less than 10 nm 6 The minimum half pitch corresponds to the deposited thickness of the sacrificial feature OPC application today editToday OPC is rarely practiced without the use of commercial packages from electronic design automation EDA vendors Advances in algorithms modeling techniques and the use of large compute farms has enabled the most critical patterning layers to be corrected overnight starting from the 130 nm design rules when model based OPC was first used 7 down to the most advanced design rules The number of layers requiring sophisticated OPC has increased with advanced nodes as previously non critical layers now require compensation The use of OPC is not restricted to the low k 1 displaystyle k 1 nbsp features which are commonly encountered today but can be applied to any desired image correction scheme which can be modeled accurately For example proximity effect correction in electron beam lithography is included as an automated capability on commercial electron beam lithography tools Since many non lithographic processes exhibit their own proximity effects e g chemical mechanical polishing or plasma etching these effects can be mixed in with the original OPC Subresolution assist features SRAFs edit nbsp Optical proximity correction of a main circuit and its assist features nbsp Assist feature OPC The use of assist features match isolated feature images closer to dense feature images but the assist features may themselves print accidentally nbsp Defocus effect on SRAFs Defocus can still limit the benefits of assist features by allowing their printing Subresolution assist features SRAFs are features which are separated from targeted features but assist in their printing while not being printed themselves Printing SRAFs are a critical yield detractor and require additional OPC models to determine and remove SRAFs where undesirable printing may occur 8 SRAFs have more pronounced effects on the diffraction spectrum than targeted feature resizing and or attachments The requirement to not print constrains their use with low doses only This could pose issues with stochastic effects 9 unreliable source Hence their main application is to improve depth of focus for isolated features dense features do not leave enough room for SRAF placement Since SRAFs redistribute energy toward higher spatial frequencies or diffraction orders the depth of focus is more dependent on the illumination angle center of spectrum of spatial frequencies or diffraction orders as well as the pitch separation of spatial frequences or diffraction orders In particular different SRAFs position shape size could result in different illumination specifications 10 11 In fact certain pitches forbid the use of SRAFs for specific illumination angles 12 Since the pitch is usually predetermined some illumination angles must be avoided even with the use of SRAF OPC Generally though SRAFs cannot be a complete solution and can only approach the dense case not match it 13 See also editComputational lithography Phase shift mask Inverse lithographyReferences edit Ronse K 1994 Fundamental principles of phase shifting masks by Fourier optics Theory and experimental verification Journal of Vacuum Science amp Technology B Microelectronics and Nanometer Structures 12 2 American Vacuum Society 589 600 doi 10 1116 1 587395 ISSN 0734 211X Saleh Bahaa Teich M C 1991 Fundamentals of photonics New York Wiley pp 364 365 ISBN 978 0 471 83965 1 OCLC 22511619 Kroyan Armen Levenson David Tittel Frank K 1998 06 29 Van den Hove Luc ed Coping with the impact of lens aberrations in the context of wavefront engineering Vol 3334 SPIE p 832 doi 10 1117 12 310817 ISSN 0277 786X Levinson Harry J 2005 Principles of lithography 2nd ed Bellingham Wash SPIE pp 274 276 ISBN 978 0 8194 5660 1 OCLC 435971871 Kling Michael E Cave Nigel Falch Bradley J Fu Chong Cheng Green Kent G et al 1999 07 26 Van den Hove Luc ed Practicing extension of 248 nm DUV optical lithography using trim mask PSM Vol 3679 SPIE pp 10 17 doi 10 1117 12 354297 ISSN 0277 786X Choi Yang Kyu Zhu Ji Grunes Jeff Bokor Jeffrey Somorjai Gabor A 2003 03 20 Fabrication of Sub 10 nm Silicon Nanowire Arrays by Size Reduction Lithography The Journal of Physical Chemistry B 107 15 American Chemical Society ACS 3340 3343 doi 10 1021 jp0222649 ISSN 1520 6106 Stirniman John P Rieger Michael L 1994 05 17 Brunner Timothy A ed Fast proximity correction with zone sampling Vol 2197 SPIE pp 294 301 doi 10 1117 12 175423 ISSN 0277 786X Kohli Kriti K Jobes Mark Graur Ioana 2017 03 17 Erdmann Andreas Kye Jongwook eds Automated detection and classification of printing sub resolution assist features using machine learning algorithms Vol 10147 SPIE p 101470O doi 10 1117 12 2261417 ISSN 0277 786X Stochastic Printing of Sub Resolution Assist Features Pang Linyong Hu Peter Peng Danping Chen Dongxue Cecil Tom et al 2009 12 03 Chen Alek C Han Woo Sung Lin Burn J Yen Anthony eds Source mask optimization SMO at full chip scale using inverse lithography technology ILT based on level set methods Vol 7520 SPIE p 75200X doi 10 1117 12 843578 ISSN 0277 786X Nagahara Seiji Yoshimochi Kazuyuki Yamazaki Hiroshi Takeda Kazuhiro Uchiyama Takayuki et al 2010 03 11 Dusa Mircea V Conley Will eds SMO for 28 nm logic device and beyond impact of source and mask complexity on lithography performance Vol 7640 SPIE p 76401H doi 10 1117 12 846473 ISSN 0277 786X Shi Xuelong Hsu Stephen Chen J Fung Hsu Chungwei Michael Socha Robert J Dusa Mircea V 2002 07 01 Herr Daniel J C ed Understanding the forbidden pitch phenomenon and assist feature placement Vol 4689 SPIE p 985 doi 10 1117 12 473427 ISSN 0277 786X Mochi Iacopo Philipsen Vicky Gallagher Emily Hendrickx Eric Lyakhova Kateryna et al 2016 03 18 Panning Eric M Goldberg Kenneth A eds Assist features placement impact and relevance for EUV imaging Vol 9776 SPIE p 97761S doi 10 1117 12 2220025 ISSN 0277 786X External links editOverview of OPC with diagrams by Frank Gennari Retrieved from https en wikipedia org w index php title Optical proximity correction amp oldid 1209229798, wikipedia, wiki, book, books, library,

    article

    , read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.