fbpx
Wikipedia

Timing closure

The Timing closure in VLSI design and electronics engineering is the process by which a logic design of a clocked synchronous circuit consisting of primitive elements such as combinatorial logic gates (AND, OR, NOT, NAND, NOR, etc.) and sequential logic gates (flip flops, latches, memories) is modified to meet its timing requirements. Unlike in a computer program where there is no explicit delay to perform a calculation, logic circuits have intrinsic and well defined delays to propagate inputs to outputs.

Overview edit

In simple cases, the user can compute the path delay between elements manually. If the design is more than a dozen or so elements this is impractical. For example, the time delay along a path from the output of a D-Flip Flop, through combinatorial logic gates, then into the next D-Flip Flop input must satisfy (be less than) the time period between synchronizing clock pulses to the two flip flops. When the delay through the elements is greater than the clock cycle time, the elements are said to be on the critical path. The circuit will not function when the path delay exceeds the clock cycle delay so modifying the circuit to remove the timing failure (and eliminate the critical path) is an important part of the logic design engineer's task. Critical path also defines the maximum delay in all the multiple register-to-register paths, and it must not be greater than the clock cycle time. After meeting the timing closure, one way to improve the circuit performance is to insert a register in between the combinational path of the critical path. This might improve the performance but increases the total latency (maximum number of registers from input to output path) of the circuit.

Many times logic circuit changes are handled by user's EDA tools based on timing constraint directives prepared by a designer. The term is also used for the goal that is achieved, when such a design has reached the end of the flow and its timing requirements are satisfied.

The main steps of the design flow, which may be involved in this process, are logic synthesis, placement, clock-tree synthesis and routing. A single reference clock is often cascaded and synthesized into many different output blocks of clocks resulting into a tree structure.

With present technologies all of them need to be timing-aware for a design to properly meet its timing requirements, but with technologies in the range of the micrometre only logic synthesis EDA tools had such a prerequisite.

Design automation tools edit

Nevertheless, even if timing-awareness was extended to all these steps starting from well-established principles used for logic synthesis, the two phases, logic and physical, of the timing closure process are conventionally handled by different design teams and different EDA tools. by Synopsys, Encounter RTL Compiler by Cadence Design Systems and BlastCreate by Magma Design Automation are examples of logic synthesis tools. by Synopsys, SoC Encounter by Cadence Design Systems and Blast Fusion by Magma Design Automation are examples of tools capable of timing-aware placement, clock tree synthesis and routing and therefore used for physical timing closure.

When the user requires the circuit to meet exceptionally difficult timing constraints, it may be necessary to utilize machine learning[1] programs, such as InTime by Plunify, to find an optimum set of FPGA synthesis, map, place and route tool configuration parameters that ensures the circuit will close timing.

A timing requirement needs to be translated into a static timing constraint for an EDA tool to be able to handle it.

See also edit

Notes edit

References edit

  • Phy-TC.Com. This article is derived from the document Timing closure by Alessandro Uber.
  1. ^ Yanghua, Que (2016). (PDF). Archived from the original (PDF) on 2017-09-18.

timing, closure, this, article, technical, most, readers, understand, please, help, improve, make, understandable, experts, without, removing, technical, details, april, 2012, learn, when, remove, this, template, message, vlsi, design, electronics, engineering. This article may be too technical for most readers to understand Please help improve it to make it understandable to non experts without removing the technical details April 2012 Learn how and when to remove this template message The Timing closure in VLSI design and electronics engineering is the process by which a logic design of a clocked synchronous circuit consisting of primitive elements such as combinatorial logic gates a href AND gate html title AND gate AND a a href OR gate html title OR gate OR a a href Inverter logic gate html title Inverter logic gate NOT a a href NAND gate html title NAND gate NAND a a href NOR gate html title NOR gate NOR a etc and sequential logic gates flip flops latches memories is modified to meet its timing requirements Unlike in a computer program where there is no explicit delay to perform a calculation logic circuits have intrinsic and well defined delays to propagate inputs to outputs Contents 1 Overview 2 Design automation tools 3 See also 4 Notes 5 ReferencesOverview editIn simple cases the user can compute the path delay between elements manually If the design is more than a dozen or so elements this is impractical For example the time delay along a path from the output of a D Flip Flop through combinatorial logic gates then into the next D Flip Flop input must satisfy be less than the time period between synchronizing clock pulses to the two flip flops When the delay through the elements is greater than the clock cycle time the elements are said to be on the critical path The circuit will not function when the path delay exceeds the clock cycle delay so modifying the circuit to remove the timing failure and eliminate the critical path is an important part of the logic design engineer s task Critical path also defines the maximum delay in all the multiple register to register paths and it must not be greater than the clock cycle time After meeting the timing closure one way to improve the circuit performance is to insert a register in between the combinational path of the critical path This might improve the performance but increases the total latency maximum number of registers from input to output path of the circuit Many times logic circuit changes are handled by user s EDA tools based on timing constraint directives prepared by a designer The term is also used for the goal that is achieved when such a design has reached the end of the flow and its timing requirements are satisfied The main steps of the design flow which may be involved in this process are logic synthesis placement clock tree synthesis and routing A single reference clock is often cascaded and synthesized into many different output blocks of clocks resulting into a tree structure With present technologies all of them need to be timing aware for a design to properly meet its timing requirements but with technologies in the range of the micrometre only logic synthesis EDA tools had such a prerequisite Design automation tools editNevertheless even if timing awareness was extended to all these steps starting from well established principles used for logic synthesis the two phases logic and physical of the timing closure process are conventionally handled by different design teams and different EDA tools Design Compiler by Synopsys Encounter RTL Compiler by Cadence Design Systems and BlastCreate by Magma Design Automation are examples of logic synthesis tools IC Compiler by Synopsys SoC Encounter by Cadence Design Systems and Blast Fusion by Magma Design Automation are examples of tools capable of timing aware placement clock tree synthesis and routing and therefore used for physical timing closure When the user requires the circuit to meet exceptionally difficult timing constraints it may be necessary to utilize machine learning 1 programs such as InTime by Plunify to find an optimum set of FPGA synthesis map place and route tool configuration parameters that ensures the circuit will close timing A timing requirement needs to be translated into a static timing constraint for an EDA tool to be able to handle it See also editDesign closure Electronic design automation Design flow EDA Integrated circuit design Physical timing closure Static timing analysis Asynchronous circuitNotes editReferences editPhy TC Com This article is derived from the document Timing closure by Alessandro Uber Yanghua Que 2016 Boosting Convergence of Timing Closure using Feature Selection in a Learning driven Approach PDF Archived from the original PDF on 2017 09 18 Retrieved from https en wikipedia org w index php title Timing closure amp oldid 1168762434, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.