fbpx
Wikipedia

Spectre Circuit Simulator

Spectre is a SPICE-class circuit simulator owned and distributed by the software company Cadence Design Systems. It provides the basic SPICE analyses and component models. It also supports the Verilog-A modeling language. Spectre comes in enhanced versions that also support RF simulation (SpectreRF) and mixed-signal simulation (AMS Designer).

Specifications edit

List of supported device models edit

  • Advanced-node models, including the latest versions of the BSIM CMG, BSIM IMG, and UTSOI models
  • MOSFET models, including the latest versions of the BSIM3, BSIM4, BSIM Bulk (BSIM6), PSP, and HiSIM
  • High-voltage MOS models, including the latest versions of the HiSIM HV, MOS9, MOS11, and EKV
  • Silicon on insulator (SOI), including latest versions of BTASOI, SSIMSOI, BSIMSOI, BSIMSOI PD, and HiSIM SOI
  • Bipolar junction transistor (BJT) models, including the latest versions of VBIC, HICUM, Mextram, HBT, and Gummel-Poon models
  • Diode models, including the diode, Phillips level 500, and CMC diode models
  • JFET models, including the JFET, Phillips level 100 JFET, and Individual dual-gate JFET models
  • IGBT models, including PSpice® IGBT model and HiSIM IGBT models
  • Resistors, including linear resistor, diffused resistor, CMC two-terminal and three-terminal resistor, and physical resistor models
  • GaAs MESFET models, includes latest versions of GaAs, TOM2, TOM3, and Angelov
  • GaN MESFET models, including Angelov, ASM, and MVSG models
  • Silicon TFT models, including RPI Poly-Silicon and Amorphous silicon Thin-Film models
  • Verilog-A compact device models
  • Z and S domain sources
  • User-defined compiled model interface (CMI), allowing for the rapid inclusion of user-defined models
  • Josephson Junctions
  • Specialized reliability models (AgeMOS) for simulating the effect of HCI and BTI
  • Miscellaneous power models, including the relay, transformer, non-linear magnetic core, and winding
  • Miscellaneous RF models, including the DC block, DC feedthrough, and microstrip and stripline elements (bend, cross, corner, curve, open line, tee models)

Language and Netlist support edit

The netlist formats, behavioral modeling languages, parasitic netlist formats, and stimulus files are common across the Spectre Simulation Platform. Supported formats include:

  1. Spectre and SPICE netlist formats
  2. Spectre, SPICE, and PSpice models
  3. Verilog-A 2.0 LRM-compliant behavioral models and structural netlists
  4. DSPF/SPEF parasitic formats
  5. S-parameter data files in Touchstone, CITI-file, and Spectre formats
  6. SST2, PSF, PSF XL, and FSDB waveform formats
  7. Digital vector (VEC), Verilog-Value Change Dump (VCD), Extended Verilog-Value Change Dump (EVCD), and digital stimulus

Spectre simulation options edit

  1. The Spectre X-RF Option provides accurate and fast simulation for RFIC circuits and includes periodic steady-state, small-signal, and noise analyses along with harmonic balance (HB) analysis capabilities to maximize performance without loss in accuracy. Taking advantage of the Spectre X architecture, HB analysis can be distributed to multiple cores to power through the simulation task faster
  2. The Spectre CPU Accelerator Option enables multi-thread simulation for transient and periodic steady-state analysis, extremely useful for verification of parasitic back-annotated designs across multiple CPUs
  3. The Spectre Power Option provides dedicated transistor-level electro-migration and IR drop (EM-IR) analysis that can be used on the command line or within the front-to-back flow, leveraging the Cadence Voltus-Fi Custom Power Integrity Solution
  4. Part of the Cadence Safety Solution providing fast fault injection and simulation to accelerate the functional safety closure of analog/mixed-signal designs

Spectre products edit

 
Spectre Simulation Platform
Spectre Products Features
Spectre X Simulator:
  • Solves large-scale verification simulation challenges for complex analog, RF, and mixed-signal blocks and subsystems
  • Scalable and massively parallel simulation for today’s cloud
  • 3X to 10X speed increase and 5X capacity improvement while maintaining Spectre golden SPICE-level accuracy
Spectre FX Simulator
  • Performance Gains: Up to 3X speed up over the latest FastSPICE simulators while delivering equal or better accuracy and high capacity
  • Scalability: Up to 32 cores with multi-threading to parallelize transient simulations for improved productivity
  • Easy to Use: Out of the box, intuitive use model requires minimal tuning for optimal accuracy/ performance balance
  • Ease of Adoption: seamless integration into Cadence Virtuoso ADE Product Suite provides adoption into Spectre and SPICE flows.
  • Expanded Verification: Extensive verification capabilities and comprehensive analyses
Spectre AMS Designer
  • Ensures design quality with proven Spectre analog and Xcelium digital simulation technologies
  • Supports both the analog design flow use model in the Virtuoso ADE Product Suite as well as the digital verification use model in the Xcelium environment
  • Allows a higher level of abstraction and accelerates simulation to achieve fast turnaround time with extensive language support, such as Verilog, VHDL, SystemVerilog, SystemVerilog Assertion, Verilog-AMS, VHDL-AMS, SystemVerilog Real Number Modeling (RNM)
  • Accelerates simulation of RF circuits at full SPICE accuracy by combining envelope analysis of RF transceivers with digital baseband simulation
Spectre RF Option
  • High-performance simulation of linear and non-linear RF circuits
  • Enables verification of designs with complex modulated signals
  • Verification of RF designs in context of on- and off-chip passive circuitry
Spectre Accelerated Parallel Simulator
  • Provides 10X-100X the single-thread performance (10X over Spectre Circuit Simulator) with out-of-box SPICE accuracy and convergence
  • Enables high-precision simulation for large, post-layout analog designs and subsystems without compromising accuracy
  • Delivers scalable performance with a multi-core architecture, allowing higher levels of analog design integration and verification
  • Uses foundry-certified device models (common across all simulation engines in the Virtuoso Multi-Mode Simulation solution) to ensure silicon-accurate analog designs
  • TÜV SÜD “Fit for Purpose – TCL1” certified to meet ISO 26262 automotive functional safety requirements
Virtuoso ADE Product Suite
  • Circuit exploration of analog, mixed-signal, and RFIC designs made easy
  • Extensive design exploration, simulation, and verification
  • Analog specification-verification cockpit
  • A new level of statistical analysis for high-yield and advanced-node designs
  • TÜV SÜD “Fit for Purpose – TCL1” certified to meet ISO 26262 automotive functional safety requirements
  • Part of the Cadence Safety Solution automating the functional safety test set up and debug of analog/mixed-signal designs
Legato Reliability Solution
  • Improves test coverage of manufacturing test using analog defect simulation
  • Advanced aging analysis better predicts device operating lifetime
  • Performs dynamic electrothermal simulation of design to prevent thermal overstress during operation
  • Analog defect simulation is integrated into the Spectre AMS Designer to enable simulation of mixed-signal design
  • Based on Virtuoso custom IC design platform and Spectre Accelerated Parallel Simulator (APS) for fast, easy adoption
  • Part of the Cadence Safety Solution providing automated fault identification and diagnostic coverage for analog/mixed-signal design failure modes
Spectre eXtensive Partitioning Simulator (XPS)
  • High performance and capacity pre- and post-layout simulation for design and IP characterization at the block and full-chip level, delivering a significant reduction in simulation run time compared to a traditional FastSPICE simulator
  • Fully compatible with Spectre simulation platform using a unified simulation front-end
  • Comprehensive set of transistor-level static and dynamic circuit checks to identify issues early in the design flow
  • Predictable accuracy and runtime tradeoff with speed option to accommodate different needs
  • Seamless integration of design and verification in the Virtuoso ADE Product Suite
Voltus-Fi Custom Power Integrity Solution
  • Transistor-level power grid and signal net EM-IR accuracy, performance, and accuracy by Cadence Spectre simulator and its patented, voltage-based, iterated matrix-solving technology
  • Foundry enablement and certification on EM rules and IR drop accuracy on advanced FinFET and FD-SOI nodes
  • Seamless integration in Cadence Virtuoso Digital Implementation platform for highly efficient analog/mixed-signal EM-IR analysis, debug, and fixing
  • Comprehensive, single path in the Virtuoso flow from Cadence Physical Verification System/Assura DRC/LVS to Quantus Extraction Solution to Virtuoso ADE/Spectre EM-IR, Voltus-Fi Custom Power Integrity Solution, and Virtuoso Layout Suite
  • Power-grid view macro model generation for top-level, full-chip Voltus tool power signoff

History edit

Spectre was developed at Cadence Design Systems by Ken Kundert and Jacob K. White. The software is continuously being improved upon and developed further to bring high quality, accurate analog simulation. Spectre is currently a leading circuit simulator, competing with HSPICE and several others.

References edit

  • "Spectre® Circuit Simulator Reference" (PDF). eece.cu.edu.eg. Retrieved 2021-12-10.


spectre, circuit, simulator, this, article, needs, additional, citations, verification, please, help, improve, this, article, adding, citations, reliable, sources, unsourced, material, challenged, removed, find, sources, news, newspapers, books, scholar, jstor. This article needs additional citations for verification Please help improve this article by adding citations to reliable sources Unsourced material may be challenged and removed Find sources Spectre Circuit Simulator news newspapers books scholar JSTOR May 2009 Learn how and when to remove this template message Spectre is a SPICE class circuit simulator owned and distributed by the software company Cadence Design Systems It provides the basic SPICE analyses and component models It also supports the Verilog A modeling language Spectre comes in enhanced versions that also support RF simulation SpectreRF and mixed signal simulation AMS Designer Contents 1 Specifications 1 1 List of supported device models 1 2 Language and Netlist support 1 3 Spectre simulation options 2 Spectre products 3 History 4 ReferencesSpecifications editList of supported device models edit Advanced node models including the latest versions of the BSIM CMG BSIM IMG and UTSOI models MOSFET models including the latest versions of the BSIM3 BSIM4 BSIM Bulk BSIM6 PSP and HiSIM High voltage MOS models including the latest versions of the HiSIM HV MOS9 MOS11 and EKV Silicon on insulator SOI including latest versions of BTASOI SSIMSOI BSIMSOI BSIMSOI PD and HiSIM SOI Bipolar junction transistor BJT models including the latest versions of VBIC HICUM Mextram HBT and Gummel Poon models Diode models including the diode Phillips level 500 and CMC diode models JFET models including the JFET Phillips level 100 JFET and Individual dual gate JFET models IGBT models including PSpice IGBT model and HiSIM IGBT models Resistors including linear resistor diffused resistor CMC two terminal and three terminal resistor and physical resistor models GaAs MESFET models includes latest versions of GaAs TOM2 TOM3 and Angelov GaN MESFET models including Angelov ASM and MVSG models Silicon TFT models including RPI Poly Silicon and Amorphous silicon Thin Film models Verilog A compact device models Z and S domain sources User defined compiled model interface CMI allowing for the rapid inclusion of user defined models Josephson Junctions Specialized reliability models AgeMOS for simulating the effect of HCI and BTI Miscellaneous power models including the relay transformer non linear magnetic core and winding Miscellaneous RF models including the DC block DC feedthrough and microstrip and stripline elements bend cross corner curve open line tee models Language and Netlist support edit The netlist formats behavioral modeling languages parasitic netlist formats and stimulus files are common across the Spectre Simulation Platform Supported formats include Spectre and SPICE netlist formats Spectre SPICE and PSpice models Verilog A 2 0 LRM compliant behavioral models and structural netlists DSPF SPEF parasitic formats S parameter data files in Touchstone CITI file and Spectre formats SST2 PSF PSF XL and FSDB waveform formats Digital vector VEC Verilog Value Change Dump VCD Extended Verilog Value Change Dump EVCD and digital stimulusSpectre simulation options edit The Spectre X RF Option provides accurate and fast simulation for RFIC circuits and includes periodic steady state small signal and noise analyses along with harmonic balance HB analysis capabilities to maximize performance without loss in accuracy Taking advantage of the Spectre X architecture HB analysis can be distributed to multiple cores to power through the simulation task faster The Spectre CPU Accelerator Option enables multi thread simulation for transient and periodic steady state analysis extremely useful for verification of parasitic back annotated designs across multiple CPUs The Spectre Power Option provides dedicated transistor level electro migration and IR drop EM IR analysis that can be used on the command line or within the front to back flow leveraging the Cadence Voltus Fi Custom Power Integrity Solution Part of the Cadence Safety Solution providing fast fault injection and simulation to accelerate the functional safety closure of analog mixed signal designsSpectre products edit nbsp Spectre Simulation Platform Spectre Products FeaturesSpectre X Simulator Solves large scale verification simulation challenges for complex analog RF and mixed signal blocks and subsystems Scalable and massively parallel simulation for today s cloud 3X to 10X speed increase and 5X capacity improvement while maintaining Spectre golden SPICE level accuracySpectre FX Simulator Performance Gains Up to 3X speed up over the latest FastSPICE simulators while delivering equal or better accuracy and high capacity Scalability Up to 32 cores with multi threading to parallelize transient simulations for improved productivity Easy to Use Out of the box intuitive use model requires minimal tuning for optimal accuracy performance balance Ease of Adoption seamless integration into Cadence Virtuoso ADE Product Suite provides adoption into Spectre and SPICE flows Expanded Verification Extensive verification capabilities and comprehensive analysesSpectre AMS Designer Ensures design quality with proven Spectre analog and Xcelium digital simulation technologies Supports both the analog design flow use model in the Virtuoso ADE Product Suite as well as the digital verification use model in the Xcelium environment Allows a higher level of abstraction and accelerates simulation to achieve fast turnaround time with extensive language support such as Verilog VHDL SystemVerilog SystemVerilog Assertion Verilog AMS VHDL AMS SystemVerilog Real Number Modeling RNM Accelerates simulation of RF circuits at full SPICE accuracy by combining envelope analysis of RF transceivers with digital baseband simulationSpectre RF Option High performance simulation of linear and non linear RF circuits Enables verification of designs with complex modulated signals Verification of RF designs in context of on and off chip passive circuitrySpectre Accelerated Parallel Simulator Provides 10X 100X the single thread performance 10X over Spectre Circuit Simulator with out of box SPICE accuracy and convergence Enables high precision simulation for large post layout analog designs and subsystems without compromising accuracy Delivers scalable performance with a multi core architecture allowing higher levels of analog design integration and verification Uses foundry certified device models common across all simulation engines in the Virtuoso Multi Mode Simulation solution to ensure silicon accurate analog designs TUV SUD Fit for Purpose TCL1 certified to meet ISO 26262 automotive functional safety requirementsVirtuoso ADE Product Suite Circuit exploration of analog mixed signal and RFIC designs made easy Extensive design exploration simulation and verification Analog specification verification cockpit A new level of statistical analysis for high yield and advanced node designs TUV SUD Fit for Purpose TCL1 certified to meet ISO 26262 automotive functional safety requirements Part of the Cadence Safety Solution automating the functional safety test set up and debug of analog mixed signal designsLegato Reliability Solution Improves test coverage of manufacturing test using analog defect simulation Advanced aging analysis better predicts device operating lifetime Performs dynamic electrothermal simulation of design to prevent thermal overstress during operation Analog defect simulation is integrated into the Spectre AMS Designer to enable simulation of mixed signal design Based on Virtuoso custom IC design platform and Spectre Accelerated Parallel Simulator APS for fast easy adoption Part of the Cadence Safety Solution providing automated fault identification and diagnostic coverage for analog mixed signal design failure modesSpectre eXtensive Partitioning Simulator XPS High performance and capacity pre and post layout simulation for design and IP characterization at the block and full chip level delivering a significant reduction in simulation run time compared to a traditional FastSPICE simulator Fully compatible with Spectre simulation platform using a unified simulation front end Comprehensive set of transistor level static and dynamic circuit checks to identify issues early in the design flow Predictable accuracy and runtime tradeoff with speed option to accommodate different needs Seamless integration of design and verification in the Virtuoso ADE Product SuiteVoltus Fi Custom Power Integrity Solution Transistor level power grid and signal net EM IR accuracy performance and accuracy by Cadence Spectre simulator and its patented voltage based iterated matrix solving technology Foundry enablement and certification on EM rules and IR drop accuracy on advanced FinFET and FD SOI nodes Seamless integration in Cadence Virtuoso Digital Implementation platform for highly efficient analog mixed signal EM IR analysis debug and fixing Comprehensive single path in the Virtuoso flow from Cadence Physical Verification System Assura DRC LVS to Quantus Extraction Solution to Virtuoso ADE Spectre EM IR Voltus Fi Custom Power Integrity Solution and Virtuoso Layout Suite Power grid view macro model generation for top level full chip Voltus tool power signoffHistory editSpectre was developed at Cadence Design Systems by Ken Kundert and Jacob K White The software is continuously being improved upon and developed further to bring high quality accurate analog simulation Spectre is currently a leading circuit simulator competing with HSPICE and several others References edit Spectre Circuit Simulator Reference PDF eece cu edu eg Retrieved 2021 12 10 nbsp This electronics related article is a stub You can help Wikipedia by expanding it vte Retrieved from https en wikipedia org w index php title Spectre Circuit Simulator amp oldid 1203770981, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.