fbpx
Wikipedia

Process corners

In semiconductor manufacturing, a process corner is an example of a design-of-experiments (DoE) technique that refers to a variation of fabrication parameters used in applying an integrated circuit design to a semiconductor wafer. Process corners represent the extremes of these parameter variations within which a circuit that has been etched onto the wafer must function correctly. A circuit running on devices fabricated at these process corners may run slower or faster than specified and at lower or higher temperatures and voltages, but if the circuit does not function at all at any of these process extremes the design is considered to have inadequate design margin.[1]

To verify the robustness of an integrated circuit design, semiconductor manufacturers will fabricate corner lots, which are groups of wafers that have had process parameters adjusted according to these extremes, and will then test the devices made from these special wafers at varying increments of environmental conditions, such as voltage, clock frequency, and temperature, applied in combination (two or sometimes all three together) in a process called characterization. The results of these tests are plotted using a graphing technique known as a shmoo plot that indicates clearly the boundary limit beyond which a device begins to fail for a given combination of these environmental conditions.

Corner-lot analysis is most effective in digital electronics because of the direct effect of process variations on the speed of transistor switching during transitions from one logic state to another, which is not relevant for analog circuits, such as amplifiers.

Significance to digital electronics

In Very-Large-Scale Integration (VLSI) integrated circuit microprocessor design and semiconductor fabrication, a process corner represents a three or six sigma variation from nominal doping concentrations (and other parameters[2]) in transistors on a silicon wafer. This variation can cause significant changes in the duty cycle and slew rate of digital signals, and can sometimes result in catastrophic failure of the entire system.

Variation may occur for many reasons, such as minor changes in the humidity or temperature in the clean-room when wafers are transported, or due to the position of the die relative to the center of the wafer.

Types of corners

When working in the schematic domain, we usually only work with front end of line (FEOL) process corners as these corners will affect the performance of devices. But there is an orthogonal set of process parameters that affect back end of line (BEOL) parasitics.

FEOL corners

One naming convention for process corners is to use two-letter designators, where the first letter refers to the N-channel MOSFET (NMOS) corner, and the second letter refers to the P channel (PMOS) corner. In this naming convention, three corners exist: typical, fast and slow. Fast and slow corners exhibit carrier mobilities that are higher and lower than normal, respectively. For example, a corner designated as FS denotes fast NFETs and slow PFETs.

There are therefore five possible corners: typical-typical (TT) (not really a corner of an n vs. p mobility graph, but called a corner, anyway), fast-fast (FF), slow-slow (SS), fast-slow (FS), and slow-fast (SF). The first three corners (TT, FF, SS) are called even corners, because both types of devices are affected evenly, and generally do not adversely affect the logical correctness of the circuit. The resulting devices can function at slower or faster clock frequencies, and are often binned as such. The last two corners (FS, SF) are called "skewed" corners, and are cause for concern. This is because one type of FET will switch much faster than the other, and this form of imbalanced switching can cause one edge of the output to have much less slew than the other edge. Latching devices may then record incorrect values in the logic chain.

BEOL corners [3]

In addition to the FETs themselves, there are more on-chip variation (OCV) effects that manifest themselves at smaller technology nodes. These include process, voltage and temperature (PVT) variation effects on on-chip interconnect, as well as via structures.

Extraction tools often have a nominal corner to reflect the nominal cross section of the process target. Then the corners cbest and cworst were created to model the smallest and largest cross sections that are in the allowed process variation. A simple thought experiment shows that the smallest cross section with the largest vertical spacing will produce the smallest coupling capacitance. CMOS Digital circuits were more sensitive to capacitance than resistance so this variation was initially acceptable. As processes evolved and resistance of wiring became more critical, the additional rcbest and rcworst were created to model the minimum and maximum cross sectional areas for resistance. But the one change is that cross sectional resistance is not dependent on oxide thickness (vertical spacing between wires) so for rcbest the largest is used and for rcworst the smallest is used.

Accounting for corners

To combat these variation effects, modern technology processes often supply SPICE or BSIM simulation models for all (or, at the least, TT, FS, and SF) process corners, which enables circuit designers to detect corner skew effects before the design is laid out, as well as post-layout (through parasitics extraction), before it is taped out.

References

  1. ^ Weste, Neil H.E. & Harris, David (2005). CMOS VLSI Design: A Circuits and Systems Perspective, 3rd Ed. Addison-Wesley, pp.231-235. ISBN 0-321-14901-7.
  2. ^ Goering, Richard (2005-11-21). "Variability upends designers' plans". EETimes.com. Retrieved 2009-01-22.
  3. ^ . Archived from the original on 2013-09-21. Retrieved 2013-09-20.{{cite web}}: CS1 maint: archived copy as title (link)

External links

  • US Patent# 6606729 - Corner simulation methodology

process, corners, semiconductor, manufacturing, process, corner, example, design, experiments, technique, that, refers, variation, fabrication, parameters, used, applying, integrated, circuit, design, semiconductor, wafer, represent, extremes, these, parameter. In semiconductor manufacturing a process corner is an example of a design of experiments DoE technique that refers to a variation of fabrication parameters used in applying an integrated circuit design to a semiconductor wafer Process corners represent the extremes of these parameter variations within which a circuit that has been etched onto the wafer must function correctly A circuit running on devices fabricated at these process corners may run slower or faster than specified and at lower or higher temperatures and voltages but if the circuit does not function at all at any of these process extremes the design is considered to have inadequate design margin 1 To verify the robustness of an integrated circuit design semiconductor manufacturers will fabricate corner lots which are groups of wafers that have had process parameters adjusted according to these extremes and will then test the devices made from these special wafers at varying increments of environmental conditions such as voltage clock frequency and temperature applied in combination two or sometimes all three together in a process called characterization The results of these tests are plotted using a graphing technique known as a shmoo plot that indicates clearly the boundary limit beyond which a device begins to fail for a given combination of these environmental conditions Corner lot analysis is most effective in digital electronics because of the direct effect of process variations on the speed of transistor switching during transitions from one logic state to another which is not relevant for analog circuits such as amplifiers Contents 1 Significance to digital electronics 2 Types of corners 2 1 FEOL corners 2 2 BEOL corners 3 3 Accounting for corners 4 References 5 External linksSignificance to digital electronics EditIn Very Large Scale Integration VLSI integrated circuit microprocessor design and semiconductor fabrication a process corner represents a three or six sigma variation from nominal doping concentrations and other parameters 2 in transistors on a silicon wafer This variation can cause significant changes in the duty cycle and slew rate of digital signals and can sometimes result in catastrophic failure of the entire system Variation may occur for many reasons such as minor changes in the humidity or temperature in the clean room when wafers are transported or due to the position of the die relative to the center of the wafer Types of corners EditWhen working in the schematic domain we usually only work with front end of line FEOL process corners as these corners will affect the performance of devices But there is an orthogonal set of process parameters that affect back end of line BEOL parasitics FEOL corners Edit One naming convention for process corners is to use two letter designators where the first letter refers to the N channel MOSFET NMOS corner and the second letter refers to the P channel PMOS corner In this naming convention three corners exist typical fast and slow Fast and slow corners exhibit carrier mobilities that are higher and lower than normal respectively For example a corner designated as FS denotes fast NFETs and slow PFETs There are therefore five possible corners typical typical TT not really a corner of an n vs p mobility graph but called a corner anyway fast fast FF slow slow SS fast slow FS and slow fast SF The first three corners TT FF SS are called even corners because both types of devices are affected evenly and generally do not adversely affect the logical correctness of the circuit The resulting devices can function at slower or faster clock frequencies and are often binned as such The last two corners FS SF are called skewed corners and are cause for concern This is because one type of FET will switch much faster than the other and this form of imbalanced switching can cause one edge of the output to have much less slew than the other edge Latching devices may then record incorrect values in the logic chain BEOL corners 3 Edit In addition to the FETs themselves there are more on chip variation OCV effects that manifest themselves at smaller technology nodes These include process voltage and temperature PVT variation effects on on chip interconnect as well as via structures Extraction tools often have a nominal corner to reflect the nominal cross section of the process target Then the corners cbest and cworst were created to model the smallest and largest cross sections that are in the allowed process variation A simple thought experiment shows that the smallest cross section with the largest vertical spacing will produce the smallest coupling capacitance CMOS Digital circuits were more sensitive to capacitance than resistance so this variation was initially acceptable As processes evolved and resistance of wiring became more critical the additional rcbest and rcworst were created to model the minimum and maximum cross sectional areas for resistance But the one change is that cross sectional resistance is not dependent on oxide thickness vertical spacing between wires so for rcbest the largest is used and for rcworst the smallest is used Accounting for corners EditTo combat these variation effects modern technology processes often supply SPICE or BSIM simulation models for all or at the least TT FS and SF process corners which enables circuit designers to detect corner skew effects before the design is laid out as well as post layout through parasitics extraction before it is taped out References Edit Weste Neil H E amp Harris David 2005 CMOS VLSI Design A Circuits and Systems Perspective 3rd Ed Addison Wesley pp 231 235 ISBN 0 321 14901 7 Goering Richard 2005 11 21 Variability upends designers plans EETimes com Retrieved 2009 01 22 Archived copy Archived from the original on 2013 09 21 Retrieved 2013 09 20 a href Template Cite web html title Template Cite web cite web a CS1 maint archived copy as title link External links EditUS Patent 6606729 Corner simulation methodology Retrieved from https en wikipedia org w index php title Process corners amp oldid 1127043202 Types of corners, wikipedia, wiki, book, books, library,

article

, read, download, free, free download, mp3, video, mp4, 3gp, jpg, jpeg, gif, png, picture, music, song, movie, book, game, games.